Performance analysis on structure of racetrack memory

Racetrack Memory(RM) has attracted abundant attention of memory researchers recently. RM can achieve ultrahigh storage density, fast access velocity and non-volatility. Former research has demonstrated that RM has potential to serve as on-chip cache or main memory. However, RM has more flexibility and difficulty in design space of main memory because it has more device level design parameters. The layout of macro unit (MU) needs trade-off among area, access performance and energy consumption, and its shift operation introduces extra dimension of design space. In this paper, we explore these design parameters and analyze their relationship in memory design space in both device and system levels. Based on the results, we also propose a hybrid MU structure to further optimize read intensive applications. Experimental results demonstrated the existence of regularity between design parameters and performance features. The optimized layout of racetrack MU is suggested for application areas such as big-data and IoT which need cost-effective and energy-efficient memory respectively. Together with hybrid MU structures, RM can be designed with more flexibility so that specific structures are suitable for specific applications which make “All stack optimization” possible in memory structure level.

[1]  Kaushik Roy,et al.  TapeCache: a high density, energy efficient cache based on domain wall memory , 2012, ISLPED '12.

[2]  John L. Henning SPEC CPU2006 benchmark descriptions , 2006, CARN.

[3]  Guang R. Gao,et al.  Leveraging access port positions to accelerate page table walk in DWM-based main memory , 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

[4]  Jiwu Shu,et al.  Exploring main memory design based on racetrack memory technology , 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

[5]  Wenqing Wu,et al.  Cross-layer racetrack memory design for ultra high density and low power consumption , 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

[6]  Jiwu Shu,et al.  Exploring data placement in racetrack memory based scratchpad memory , 2015, 2015 IEEE Non-Volatile Memory System and Applications Symposium (NVMSA).

[7]  S. Parkin,et al.  Magnetic Domain-Wall Racetrack Memory , 2008, Science.

[8]  Hai Li,et al.  Quantitative modeling of racetrack memory, a tradeoff among area, performance, and power , 2015, The 20th Asia and South Pacific Design Automation Conference.

[9]  Kaushik Roy,et al.  DWM-TAPESTRI - An energy efficient all-spin cache using domain wall shift based writes , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[10]  Kuei-Hung Shen,et al.  Racetrack Memory: A high-performance, low-cost, non-volatile memory based on magnetic domain walls , 2011, 2011 International Electron Devices Meeting.

[11]  Hao Yu,et al.  An ultralow-power memory-based big-data computing platform by nonvolatile domain-wall nanowire devices , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[12]  Wenqing Wu,et al.  Multi retention level STT-RAM cache designs with a dynamic refresh scheme , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[13]  Cong Xu,et al.  NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  H. Ohno,et al.  Current-induced domain wall motion in perpendicularly magnetized CoFeB nanowire , 2011 .

[15]  Kailash Gopalakrishnan,et al.  Overview of candidate device technologies for storage-class memory , 2008, IBM J. Res. Dev..

[16]  Thomas M. Conte,et al.  Energy efficient Phase Change Memory based main memory for future high performance systems , 2011, 2011 International Green Computing Conference and Workshops.

[17]  Matthew Poremba,et al.  NVMain: An Architectural-Level Main Memory Simulator for Emerging Non-volatile Memories , 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

[18]  Jiang Nan,et al.  Perspectives of Racetrack Memory for Large-Capacity On-Chip Memory: From Device to System , 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

[19]  Edwin Hsing-Mean Sha,et al.  Optimizing data placement for reducing shift operations on Domain Wall Memories , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[20]  Chengmo Yang,et al.  A DWM-Based Stack Architecture Implementation for Energy Harvesting Systems , 2017, ACM Trans. Embed. Comput. Syst..

[21]  Huazhong Yang,et al.  From device to system: Cross-layer design exploration of racetrack memory , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[22]  Weisheng Zhao,et al.  Perpendicular-magnetic-anisotropy CoFeB racetrack memory , 2012 .