Symbolic Moment Computation for Statistical Analysis of Large Interconnect Networks
暂无分享,去创建一个
[1] Zhigang Hao,et al. Sensitivity approach to statistical signal integrity analysis of coupled interconnect trees , 2009, 2009 52nd IEEE International Midwest Symposium on Circuits and Systems.
[2] Rajendran Panda,et al. Early probabilistic noise estimation for capacitively coupled interconnects , 2002, SLIP '02.
[3] J. Leeds,et al. Simplified Multiple Parameter Sensitivity Calculation and Continuously Equivalent Networks , 1967, IEEE Transactions on Circuit Theory.
[4] Rajendran Panda,et al. Analysis of large clock meshes via Harmonic-weighted model order reduction and port sliding , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.
[5] Wu-Shiung Feng,et al. Applications of tree/link partitioning for moment computations of general lumped RLC networks with resistor loops , 2004, 2004 IEEE International Symposium on Circuits and Systems (IEEE Cat. No.04CH37512).
[6] Rajeev Murgai,et al. A sliding window scheme for accurate clock mesh analysis , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[7] Randal E. Bryant,et al. Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.
[8] Yehea I. Ismail,et al. Computation of signal-threshold crossing times directly from higher order moments , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[9] Wu-Shiung Feng,et al. Moment Computations of Distributed Coupled RLC Interconnects with Applications to Estimating Crosstalk Noise , 2005, IEICE Trans. Electron..
[10] Zeng Jun-Kuei,et al. Deep submicron interconnect timing model with quadratic random variable analysis , 2008 .
[11] Zhigang Hao,et al. A fast symbolic computation approach to statistical analysis of mesh networks with multiple sources , 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).
[12] Lawrence T. Pileggi,et al. Moment-sensitivity-based wire sizing for skew reduction in on-chip clock nets , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[13] Sheldon X.-D. Tan. A general hierarchical circuit modeling and simulation algorithm , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[14] Wu-Shiung Feng,et al. Moment computations of nonuniform distributed coupled RLC trees with applications to estimating crosstalk noise , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).
[15] R. A. Rohrer. Circuit partitioning simplified , 1988 .
[16] Lawrence T. Pileggi,et al. Asymptotic waveform evaluation for timing analysis , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[17] Ronald A. Rohrer,et al. Pole and zero sensitivity calculation in asymptotic waveform evaluation , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[18] Li-Da Huang,et al. Explicit gate delay model for timing evaluation , 2003, ISPD '03.
[19] K.A. Jenkins,et al. A clock distribution network for microprocessors , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).
[20] Rob A. Rutenbar,et al. Canonical Symbolic Analysis of Large Analog Circuits with Determinant Decision Diagrams , 2002 .
[21] Saburo Muroga,et al. Binary Decision Diagrams , 2000, The VLSI Handbook.
[22] David Blaauw,et al. A simple metric for slew rate of RC circuits based on two circuit moments , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[23] Peng Li,et al. Exact Time-Domain Second-Order Adjoint-Sensitivity Computation for Linear Circuit Analysis and Optimization , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.
[24] Xuan Zeng,et al. Model Order Reduction of Parameterized Interconnect Networks via a Two-Directional Arnoldi Process , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[25] Ernest S. Kuh,et al. Moment computation of lumped and distributed coupled RC trees with application to delay and crosstalk estimation , 2001, Proc. IEEE.
[26] Yiyu Shi,et al. Scalable Symbolic Model Order Reduction , 2008, 2008 IEEE International Behavioral Modeling and Simulation Workshop.
[27] N. Masoumi,et al. An Efficient Simulation CAD Tool For Interconnect Distribution Functions , 2008, 2008 12th IEEE Workshop on Signal Propagation on Interconnects.
[28] Jiang Hu,et al. Reducing clock skew variability via cross links , 2004, Proceedings. 41st Design Automation Conference, 2004..
[29] Malgorzata Marek-Sadowska,et al. Closed-Form Crosstalk Noise Metrics for Physical Design Applications , 2002, DATE.
[30] Ernest S. Kuh,et al. Exact moment matching model of transmission lines and application to interconnect delay estimation , 1995, IEEE Trans. Very Large Scale Integr. Syst..
[31] David Blaauw,et al. Statistical interconnect metrics for physical-design optimization , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[32] Charlie Chung-Ping Chen,et al. Deep Submicron Interconnect Timing Model with Quadratic Random Variable Analysis , 2008, 2008 Design, Automation and Test in Europe.
[33] Chandramouli V. Kashyap,et al. RC delay metrics for performance optimization , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[34] Wu-Shiung Feng,et al. Moment computations for R(L)C interconnects with multiple resistor loops using ROBDD techniques , 2004, The 2004 IEEE Asia-Pacific Conference on Circuits and Systems, 2004. Proceedings..
[35] Jacob K. White,et al. A multiparameter moment-matching model-reduction approach for generating geometrically parameterized interconnect performance models , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[36] Lawrence T. Pileggi,et al. RICE: rapid interconnect circuit evaluation using AWE , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[37] Yu Hen Hu,et al. Correlation-preserved non-Gaussian statistical timing analysis with quadratic timing model , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[38] Zhigang Hao,et al. Symbolic techniques for statistical timing analysis of RCL mesh networks with resistor loops , 2009, Proceedings of the 2009 12th International Symposium on Integrated Circuits.
[39] David Z. Pan,et al. MeshWorks: An efficient framework for planning, synthesis and optimization of clock mesh networks , 2008, 2008 Asia and South Pacific Design Automation Conference.
[40] Lawrence T. Pileggi,et al. Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations , 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..
[41] Frank Liu,et al. Fast Variational Interconnect Delay and Slew Computation Using Quadratic Models , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[42] Rob A. Rutenbar,et al. Fast interval-valued statistical modeling of interconnect and effective capacitance , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[43] Bo Hu,et al. On symbolic model order reduction , 2006, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[44] Chandramouli V. Kashyap,et al. Closed-form expressions for extending step delay and slew metrics to ramp inputs for RC trees , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.