On the Impacts of Greedy Thermal Management in Mobile Devices

As mobile system-on-chips incorporate multicore processors with high power densities, high chip temperatures are becoming a rising concern in mobile processors. Modern smartphones are limited in their cooling capabilities and employ CPU throttling mechanisms to avoid thermal emergencies by sacrificing performance. Traditional throttling techniques aim at achieving maximum utilization of the available thermal headroom so as to minimize the performance penalty at a given time. This letter demonstrates that such greedy techniques lead to fast elevation of temperature on other system components and cause substantially suboptimal performance over increased durations of phone activity. Through experiments on a commercial smartphone, we characterize the impact of application duration on throttling-induced performance loss and propose quality-of-service (QoS) tuning as an effective way of providing the mobile system user with consistent performance levels over extended application durations. The proposed QoS-aware frequency capping technique achieves up to 56% improvement in performance sustainability.

[1]  Luca Benini,et al.  A distributed and self-calibrating model-predictive controller for energy and thermal management of high-performance multicores , 2011, 2011 Design, Automation & Test in Europe.

[2]  Margaret Martonosi,et al.  Techniques for Multicore Thermal Management: Classification and New Exploration , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[3]  Naehyuck Chang,et al.  Dynamic thermal management in mobile devices considering the thermal coupling between battery and application processor , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[4]  John L. Henning SPEC CPU2006 benchmark descriptions , 2006, CARN.

[5]  Eun Jung Kim,et al.  Predictive dynamic thermal management for multicore systems , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[6]  Anuj Pathania,et al.  Integrated CPU-GPU power management for 3D mobile games , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

[7]  Massoud Pedram,et al.  Therminator: A thermal simulator for smartphones producing accurate chip and skin temperature maps , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[8]  Vijay Janapa Reddi,et al.  High-performance and energy-efficient mobile web browsing on big/little systems , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).