Recycling of unused leakage current for energy efficient multi-voltage systems

Abstract A novel method for the delivery of power to sub-threshold (sub-Vt) circuits is proposed. The unused leakage current during the idle-mode operation of super-threshold (super-Vt) circuits is used to supply current to the sub-Vt circuits. Super-Vt and sub-Vt circuits are characterized in a 45 nm CMOS technology, where the super-Vt circuits operate at 1.2 V and generate a sub-Vt voltage of 380 mV. The energy break-even point of the leakage reuse technique is analyzed both analytically and through simulation. The proposed technique is compared with two conventional methods, one that implements separate power distribution networks for the super-Vt and sub-Vt circuits (baseline) and the second that applies voltage stacking. The proposed leakage reuse technique implemented on the s27 ISCAS89 benchmark circuit reduces the average and peak power consumption to, respectively, 0.41× and 0.7× that of the baseline technique, while also reducing the peak voltage noise on the true ground node VSS and the settling time of the true ground voltage VSS to, respectively, 0.68× and 0.44× at a cost of a 1.24× increase in the FO4 delay. The leakage reuse technique implemented on the s208 ISCAS89 benchmark circuit resulted in a reduction of the peak voltage noise on the virtual ground node VGND and a reduction in the settling time of the virtual ground voltage VGND to, respectively, 0.28× and 0.23× that of the voltage stacking technique. In addition, the regulation of the sub-Vt supply voltage is evaluated for a variable workload executing on a 32-bit RISC-V core operating at 380 mV.

[1]  Anish Muttreja,et al.  CMOS logic design with independent-gate FinFETs , 2007, 2007 25th International Conference on Computer Design.

[2]  Pradip Bose,et al.  Dynamic power gating with quality guarantees , 2009, ISLPED.

[3]  Mahmut T. Kandemir,et al.  Performance enhancement under power constraints using heterogeneous CMOS-TFET multicores , 2012, CODES+ISSS '12.

[4]  Ajay Kapoor,et al.  Lower power by voltage stacking: A fine-grained system design approach , 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[5]  Massoud Pedram,et al.  Charge Recycling in Power-Gated CMOS Circuits , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[6]  Man-Kay Law,et al.  Sub-threshold standard cell library design for ultra-low power biomedical applications , 2013, 2013 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

[7]  Ioannis Savidis,et al.  Bi-directional input/output circuits with integrated level shifters for near-threshold computing , 2017, 2017 IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS).

[8]  Uming Ko,et al.  23.3 A highly integrated smartphone SoC featuring a 2.5GHz octa-core CPU with advanced high-performance and low-power techniques , 2015, 2015 IEEE International Solid-State Circuits Conference - (ISSCC) Digest of Technical Papers.

[9]  Benton H. Calhoun,et al.  A 32 b 90 nm Processor Implementing Panoptic DVS Achieving Energy Efficient Operation From Sub-Threshold to High Performance , 2014, IEEE Journal of Solid-State Circuits.

[10]  Ioannis Savidis,et al.  Reusing Leakage Current for Improved Energy Efficiency of Multi-Voltage Systems , 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

[11]  Vanish Talwar,et al.  Power Management of Datacenter Workloads Using Per-Core Power Gating , 2009, IEEE Computer Architecture Letters.

[12]  Bharadwaj S. Amrutur,et al.  Dynamic Supply and Threshold Voltage Scaling for CMOS Digital Circuits Using In-Situ Power Monitor , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Kevin J. Nowka,et al.  Enhanced Leakage Reduction Techniques Using Intermediate Strength Power Gating , 2007, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Christopher Gill,et al.  Voltage-Stacked Power Delivery Systems: Reliability, Efficiency, and Power Management , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[15]  Yang Li,et al.  An energy-efficient heterogeneous dual-core processor for Internet of Things , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

[16]  Massoud Pedram,et al.  Performance Comparisons Between 7-nm FinFET and Conventional Bulk CMOS Standard Cell Libraries , 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

[17]  Stuart N. Wooters,et al.  A 2.6-µW sub-threshold mixed-signal ECG SoC , 2009, 2009 Symposium on VLSI Circuits.

[18]  Zhenyu Qi,et al.  Stacking SRAM banks for ultra low power standby mode operation , 2010, Design Automation Conference.

[19]  Jose Renau,et al.  GPU NTC Process Variation Compensation With Voltage Stacking , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[20]  Meeta Sharma Gupta,et al.  Understanding Voltage Variations in Chip Multiprocessors using a Distributed Power-Delivery Network , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[21]  Massoud Pedram,et al.  Modeling processor idle times in MPSoC platforms to enable integrated DPM, DVFS, and task scheduling subject to a hard deadline , 2019, ASP-DAC.

[22]  Kwen-Siong Chong,et al.  Synchronous-Logic and Globally-Asynchronous-Locally-Synchronous (GALS) Acoustic Digital Signal Processors , 2012, IEEE Journal of Solid-State Circuits.

[23]  Jinuk Luke Shin,et al.  A Power-Efficient High-Throughput 32-Thread SPARC Processor , 2006, 2006 IEEE International Solid State Circuits Conference - Digest of Technical Papers.

[24]  Hong Wang,et al.  An energy-efficient graphics processor featuring fine-grain DVFS with integrated voltage regulators, execution-unit turbo, and retentive sleep in 14nm tri-gate CMOS , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[25]  Elad Alon,et al.  A RISC-V Processor SoC With Integrated Power Management at Submicrosecond Timescales in 28 nm FD-SOI , 2017, IEEE Journal of Solid-State Circuits.

[26]  Kimiyoshi Usami,et al.  A Design Approach for Fine-grained Run-Time Power Gating using Locally Extracted Sleep Signals , 2006, 2006 International Conference on Computer Design.

[27]  Daeyeon Kim,et al.  A Low-Voltage Processor for Sensing Applications With Picowatt Standby Mode , 2009, IEEE Journal of Solid-State Circuits.

[28]  Kevin Skadron,et al.  A cross-layer design exploration of charge-recycled power-delivery in many-layer 3D-IC , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

[29]  Gu-Yeon Wei,et al.  A Fully Integrated Reconfigurable Switched-Capacitor DC-DC Converter With Four Stacked Output Channels for Voltage Stacking Applications , 2016, IEEE Journal of Solid-State Circuits.

[30]  Giacomo Indiveri,et al.  A Scalable Multicore Architecture With Heterogeneous Memory Structures for Dynamic Neuromorphic Asynchronous Processors (DYNAPs) , 2017, IEEE Transactions on Biomedical Circuits and Systems.

[31]  Indrani Paul,et al.  Understanding idle behavior and power gating mechanisms in the context of modern benchmarks on CPU-GPU Integrated systems , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

[32]  M. Pattanaik,et al.  Clock gating based energy efficient ALU design and implementation on FPGA , 2013, 2013 International Conference on Energy Efficient Technologies for Sustainability.

[33]  Justin J. Song,et al.  Prediction of CPU idle-busy activity pattern , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[34]  Srinivas Katkoori,et al.  A Framework for Power-Gating Functional Units in Embedded Microprocessors , 2009, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[35]  Saibal Mukhopadhyay,et al.  Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits , 2003, Proc. IEEE.

[36]  Koji Nii,et al.  A 28 nm High-k/MG Heterogeneous Multi-Core Mobile Application Processor With 2 GHz Cores and Low-Power 1 GHz Cores , 2015, IEEE Journal of Solid-State Circuits.

[37]  Pradip Bose,et al.  Microarchitectural techniques for power gating of execution units , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[38]  Javier Navaridas,et al.  Cyclic Power-Gating as an Alternative to Voltage and Frequency Scaling , 2016, IEEE Computer Architecture Letters.

[39]  Qiang Xu,et al.  Learning-Based Power Management for Multicore Processors via Idle Period Manipulation , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[40]  Mingyan Liu,et al.  Power-Management Techniques for Wireless Sensor Networks and Similar Low-Power Communication Devices Based on Nonrechargeable Batteries , 2012, J. Comput. Networks Commun..

[41]  Kevin Skadron,et al.  Transient voltage noise in charge-recycled power delivery networks for many-layer 3D-IC , 2015, 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[42]  Luca Benini,et al.  A survey of design techniques for system-level dynamic power management , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[43]  Diana Marculescu,et al.  Power efficiency of voltage scaling in multiple clock, multiple voltage cores , 2002, ICCAD 2002.

[44]  Suhwan Kim,et al.  Experimental measurement of a novel power gating structure with intermediate power saving mode , 2004, Proceedings of the 2004 International Symposium on Low Power Electronics and Design (IEEE Cat. No.04TH8758).

[45]  Ioannis Savidis,et al.  Multi-Voltage Domain Power Distribution Network for Optimized Ultra-Low Voltage Clock Delivery , 2018, 2018 Ninth International Green and Sustainable Computing Conference (IGSC).

[46]  Malgorzata Marek-Sadowska,et al.  Benefits and costs of power-gating technique , 2005, 2005 International Conference on Computer Design.

[47]  K. Mazumdar,et al.  Charge recycling on-chip DC-DC conversion for near-threshold operation , 2012, 2012 IEEE Subthreshold Microelectronics Conference (SubVT).

[48]  Ioannis Savidis,et al.  On-Chip Power Supply Noise Suppression Through Hyperabrupt Junction Varactors , 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.