New Algorithmic Techniques for Complex EDA Problems

[1]  Shantanu Dutt,et al.  Discretized Network Flow Techniques for Timing and Wire-Length Driven Incremental Placement With White-Space Satisfaction , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[2]  Amyn Poonawala,et al.  Mask Design for Optical Microlithography—An Inverse Imaging Problem , 2007, IEEE Transactions on Image Processing.

[3]  Massoud Pedram,et al.  Register Allocation and Binding for Low Power , 1995, 32nd Design Automation Conference.

[4]  Martin D. F. Wong,et al.  Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[5]  Ulrich Brenner VLSI legalization with minimum perturbation by iterative augmentation , 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[6]  Peyman Milanfar,et al.  OPC and PSM design using inverse lithography: a nonlinear optimization approach , 2006, SPIE Advanced Lithography.

[7]  Wayne H. Wolf,et al.  Unification of scheduling, binding, and retiming to reduce power consumption under timings and resources constraints , 2005, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[8]  Martin D. F. Wong,et al.  Circuit partitioning for dynamically reconfigurable FPGAs , 1999, FPGA '99.

[9]  Rehab F. Abdel-Kader Particle Swarm Optimization for Constrained Instruction Scheduling , 2008, VLSI Design.

[10]  Seda Ogrenci Memik,et al.  Early Quality Assessment for Low Power Behavioral Synthesis , 2005, J. Low Power Electron..

[11]  S. Dutt,et al.  A Network-Flow Approach to Timing-Driven Incremental Placement for ASICs , 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

[12]  R. K. Ursem Multi-objective Optimization using Evolutionary Algorithms , 2009 .

[13]  Andrew B. Kahng,et al.  Scan Chain Optimization : Heuristic and Optimal , 1994 .

[14]  Yuri Granik,et al.  Fast pixel-based mask optimization for inverse lithography , 2006 .

[15]  Shantanu Dutt,et al.  A Network-Flow Based Cell Sizing Algorithm , 2008 .

[16]  Samy Makar A layout-based approach for ordering scan chain flip-flops , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[17]  Sabih H. Gerez,et al.  Algorithms for VLSI design automation , 1998 .

[18]  Martin D. F. Wong,et al.  Network flow based circuit partitioning for time-multiplexed FPGAs , 1998, ICCAD '98.

[19]  Nilanjan Mukherjee,et al.  Synthesis of Scan Chains for Netlist Descriptions at RT-Level , 2002, J. Electron. Test..

[20]  Panos M. Pardalos,et al.  A bilinear relaxation based algorithm for concave piecewise linear network flow problems , 2007 .

[21]  Majid Sarrafzadeh,et al.  An optimal algorithm for minimizing run-time reconfiguration delay , 2004, TECS.

[22]  YU Peng,et al.  TIP-OPC: a new topological invariant paradigm for pixel based optical proximity correction , 2007, 2007 IEEE/ACM International Conference on Computer-Aided Design.

[23]  Seth Copen Goldstein,et al.  Managing pipeline-reconfigurable FPGAs , 1998, FPGA '98.

[24]  Chris C. N. Chu,et al.  Optimal slack-driven block shaping algorithm in fixed-outline floorplanning , 2012, ISPD '12.

[25]  Chittaranjan A. Mandal,et al.  GABIND: a GA approach to allocation and binding for the high-level synthesis of data paths , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[26]  Shantanu Dutt,et al.  Effective Power Optimization Under Timing and Voltage-Island Constraints Via Simultaneous $V_{dd}$, $V_{th}$ Assignments, Gate Sizing, and Placement , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  M Reyes Sierra,et al.  Multi-Objective Particle Swarm Optimizers: A Survey of the State-of-the-Art , 2006 .

[28]  Daniele Loiacono,et al.  A Multi-objective Genetic Algorithm for Design Space Exploration in High-Level Synthesis , 2008, 2008 IEEE Computer Society Annual Symposium on VLSI.

[29]  Manish Birla,et al.  Partial run-time reconfiguration of FPGA for computer vision applications , 2008, 2008 IEEE International Symposium on Parallel and Distributed Processing.

[30]  Peyman Milanfar,et al.  A pixel-based regularization approach to inverse lithography , 2007 .

[31]  Dong Wang,et al.  Particle swarm optimization based on neighborhood encoding for traveling salesman problem , 2008, 2008 IEEE International Conference on Systems, Man and Cybernetics.

[32]  Lilia Zaourar,et al.  An Innovative Methodology for Scan Chain Insertion and Analysis at RTL , 2011, 2011 Asian Test Symposium.

[33]  Pan Chen,et al.  Particle swarm optimization with simulated annealing for TSP , 2007 .

[34]  Taewhan Kim,et al.  High-level synthesis for low power based on network flow method , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[35]  Xu Ma,et al.  PSM design for inverse lithography with partially coherent illumination , 2008, Advanced Lithography.

[36]  Jiang Hu,et al.  Gate sizing and device technology selection algorithms for high-performance industrial designs , 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[37]  N. Ranganathan,et al.  A game theoretic approach for power optimization during behavioral synthesis , 2003, IEEE Trans. Very Large Scale Integr. Syst..

[38]  Malgorzata Marek-Sadowska,et al.  Buffer minimization and time-multiplexed I/O on dynamically reconfigurable FPGAs , 1997, FPGA '97.

[39]  Lilia Zaourar,et al.  A Global Optimization for Scan Chain Insertion at the RT-level , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[40]  Axel Jantsch,et al.  Run-time Partial Reconfiguration speed investigation and architectural design space exploration , 2009, 2009 International Conference on Field Programmable Logic and Applications.

[41]  Chunguang Zhou,et al.  Particle swarm optimization for traveling salesman problem , 2003, Proceedings of the 2003 International Conference on Machine Learning and Cybernetics (IEEE Cat. No.03EX693).

[42]  Scott Hauck,et al.  Performance of partial reconfiguration in FPGA systems: A survey and a cost model , 2011, TRETS.

[43]  Olivier Coudert,et al.  Gate sizing for constrained delay/power/area optimization , 1997, IEEE Trans. Very Large Scale Integr. Syst..

[44]  Sujit Dey,et al.  H-SCAN: A high level alternative to full-scan testing with reduced area and test application overheads , 1996, Proceedings of 14th VLSI Test Symposium.

[45]  Ravindra K. Ahuja,et al.  Network Flows: Theory, Algorithms, and Applications , 1993 .

[46]  Kalyanmoy Deb,et al.  A fast and elitist multiobjective genetic algorithm: NSGA-II , 2002, IEEE Trans. Evol. Comput..

[47]  Xinli Gu,et al.  A new approach to scan chain reordering using physical design information , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[48]  Shantanu Dutt,et al.  Timing yield optimization via discrete gate sizing using globally-informed delay PDFs , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[49]  Shiyan Hu,et al.  Gate Sizing for Cell-Library-Based Designs , 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[50]  Viktor K. Prasanna,et al.  Loop Pipelining and Optimization for Run Time Reconfiguration , 2000, IPDPS Workshops.

[51]  Steven Trimberger,et al.  Scheduling designs into a time-multiplexed FPGA , 1998, FPGA '98.

[52]  David Dye Partial Reconfiguration of Xilinx FPGAs Using ISE Design Suite , 2010 .

[53]  Nikolaos Laoutaris,et al.  Meta algorithms for hierarchical Web caches , 2004, IEEE International Conference on Performance, Computing, and Communications, 2004.

[54]  Chris C. N. Chu,et al.  SafeChoice: A Novel Approach to Hypergraph Clustering for Wirelength-Driven Placement , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[55]  Shantanu Dutt,et al.  A Provably High-Probability White-Space Satisfaction Algorithm With Good Performance for Standard-Cell Detailed Placement , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[56]  Chris C. N. Chu,et al.  SafeChoice: a novel clustering algorithm for wirelength-driven placement , 2010, ISPD '10.

[57]  Chris C. N. Chu,et al.  DeFer: Deferred Decision Making Enabled Fixed-Outline Floorplanning Algorithm , 2010, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[58]  David Berthelot,et al.  An efficient linear time algorithm for scan chain optimization and repartitioning , 2002, Proceedings. International Test Conference.

[59]  Jochen A. G. Jess,et al.  Gate sizing in MOS digital circuits with linear programming , 1990, Proceedings of the European Design Automation Conference, 1990., EDAC..

[60]  Xu Ma,et al.  Generalized inverse lithography methods for phase-shifting mask design , 2007, SPIE Advanced Lithography.

[61]  P. Kudva,et al.  Gate-size selection for standard cell libraries , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[62]  Tughrul Arslan,et al.  Multi-objective design strategy for high-level low power design of DSP systems , 1999, ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349).

[63]  Carla S. Williams,et al.  An integrated data flow visual language and software development environment , 1991, J. Vis. Lang. Comput..

[64]  Xu Ma,et al.  Pixel-based OPC optimization based on conjugate gradients. , 2011, Optics express.

[65]  Puneet Gupta,et al.  Routing-aware scan chain ordering , 2003, ASP-DAC '03.

[66]  B E Saleh,et al.  Simulation of partially coherent imagery in the space and frequency domains and by modal expansion. , 1982, Applied optics.

[67]  B.B. Paul,et al.  Genetic Algorithm based Scan Chain Optimization and Test Power Reduction using Physical Information , 2006, TENCON 2006 - 2006 IEEE Region 10 Conference.

[68]  François Duhem,et al.  Reconfiguration time overhead on field programmable gate arrays: reduction and cost model , 2012, IET Comput. Digit. Tech..

[69]  Alex K. Jones,et al.  Behavioral synthesis of data-dominated circuits for minimal energy implementation , 2005, 18th International Conference on VLSI Design held jointly with 4th International Conference on Embedded Systems Design.

[70]  Majid Sarrafzadeh,et al.  Timing-driven placement using design hierarchy guided constraint generation , 2002, ICCAD 2002.

[71]  Dinesh Bhatia,et al.  Temporal Partitioning and Scheduling Data Flow Graphs for Reconfigurable Computers , 1999, IEEE Trans. Computers.

[72]  R. Subrata RTL based scan BIST , 1997, Proceedings VHDL International Users' Forum. Fall Conference.

[73]  John Rasure,et al.  The Khoros Application Development Environment , 1994 .

[74]  Azadeh Davoodi,et al.  Effective techniques for the generalized low-power binding problem , 2006, TODE.

[75]  Amr Elchouemi,et al.  Multi-FPGA Partitioning Method Based on Topological Levelization , 2010, J. Electr. Comput. Eng..

[76]  Kwang-Ting Cheng,et al.  Electronic Design Automation: Synthesis, Verification, and Test , 2009 .

[77]  Chris C. N. Chu,et al.  Handling complexities in modern large-scale mixed-size placement , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[78]  Chantal Robach,et al.  Inserting Scan at the Behavioral Level , 2000, IEEE Des. Test Comput..

[79]  Srinivas Katkoori,et al.  A genetic algorithm for the design space exploration of datapaths during high-level synthesis , 2006, IEEE Transactions on Evolutionary Computation.

[80]  Chandra Tan,et al.  Automatic Mapping of Khoros-based Applications to Adaptive Computing Systems , 1999 .

[81]  Malgorzata Marek-Sadowska,et al.  Partitioning Sequential Circuits on Dynamically Reconfigurable FPGAs , 1999, IEEE Trans. Computers.

[82]  Nimrod Megiddo,et al.  A simplex algorithm whose average number of steps is bounded between two quadratic functions of the smaller dimension , 1985, JACM.

[83]  Dinesh K. Sharma,et al.  Resolution enhancement techniques for optical lithography , 2002 .

[84]  Lisa Fan,et al.  Reducing Cognitive Overload by Meta-Learning Assisted Algorithm Selection , 2008, Int. J. Cogn. Informatics Nat. Intell..

[85]  Chris C. N. Chu,et al.  DeFer: Deferred decision making enabled fixed-outline floorplanner , 2008, 2008 45th ACM/IEEE Design Automation Conference.

[86]  Sujit Dey,et al.  H-SCAN+: a practical low-overhead RTL design-for-testability technique for industrial designs , 1997, Proceedings International Test Conference 1997.

[87]  D. Malacara-Hernández,et al.  PRINCIPLES OF OPTICS , 2011 .

[88]  Edward J. McCluskey,et al.  High-level synthesis for orthogonal scan , 1997, Proceedings. 15th IEEE VLSI Test Symposium (Cat. No.97TB100125).

[89]  John P. Fishburn,et al.  TILOS: A posynomial programming approach to transistor sizing , 2003, ICCAD 2003.

[90]  Lothar Thiele,et al.  Multiobjective Optimization Using Evolutionary Algorithms - A Comparative Case Study , 1998, PPSN.

[91]  Meng Wang,et al.  Improved discrete particle swarm optimization based on edge coding and multilevel reduction strategy for larger scale TSP , 2010, 2010 Sixth International Conference on Natural Computation.

[92]  Shantanu Dutt,et al.  Algorithms for simultaneous consideration of multiple physical synthesis transforms for timing closure , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[93]  M. C. Bhuvaneswari,et al.  A Novel Evolutionary Technique for Multi-objective Power, Area and Delay Optimization in High Level Synthesis of Datapaths , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[94]  Ravindra K. Ahuja,et al.  The Scaling Network Simplex Algorithm , 1992, Oper. Res..