Optimizing User Satisfaction of Mobile Workloads Subject to Various Sources of Uncertainties
暂无分享,去创建一个
Carole-Jean Wu | Sarma Vrudhula | Benjamin Gaudette | Carole-Jean Wu | S. Vrudhula | Benjamin Gaudette
[1] Habib N. Najm,et al. Numerical Challenges in the Use of Polynomial Chaos Representations for Stochastic Processes , 2005, SIAM J. Sci. Comput..
[2] R. Ghanem,et al. Stochastic Finite Elements: A Spectral Approach , 1990 .
[3] Sarma B. K. Vrudhula,et al. Energy-Efficient Operation of Multicore Processors by DVFS, Task Migration, and Active Cooling , 2014, IEEE Transactions on Computers.
[4] L. Benini,et al. Cycle-accurate simulation of energy consumption in embedded systems , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).
[5] Xiaobing Feng,et al. An empirical model for predicting cross-core performance interference on multicore processors , 2013, Proceedings of the 22nd International Conference on Parallel Architectures and Compilation Techniques.
[6] Kevin Kai-Wei Chang,et al. DASH: Deadline-Aware High-Performance Memory Scheduler for Heterogeneous Systems with Hardware Accelerators , 2016, ACM Trans. Archit. Code Optim..
[7] Jiayuan Meng,et al. Improving GPU Performance Prediction with Data Transfer Modeling , 2013, 2013 IEEE International Symposium on Parallel & Distributed Processing, Workshops and Phd Forum.
[8] Sergey Oladyshkin,et al. Data-driven uncertainty quantification using the arbitrary polynomial chaos expansion , 2012, Reliab. Eng. Syst. Saf..
[9] Vijay Janapa Reddi,et al. High-performance and energy-efficient mobile web browsing on big/little systems , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).
[10] Carole-Jean Wu,et al. Improving smartphone user experience by balancing performance and energy with probabilistic QoS guarantee , 2016, 2016 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[11] Lieven Eeckhout,et al. Scheduling heterogeneous multi-cores through performance impact estimation (PIE) , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[12] Stijn Eyerman,et al. Mechanistic-empirical processor performance modeling for constructing CPI stacks on real hardware , 2011, (IEEE ISPASS) IEEE INTERNATIONAL SYMPOSIUM ON PERFORMANCE ANALYSIS OF SYSTEMS AND SOFTWARE.
[13] Vanchinathan Venkataramani,et al. Power-performance modeling on asymmetric multi-cores , 2013, 2013 International Conference on Compilers, Architecture and Synthesis for Embedded Systems (CASES).
[14] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[15] Gitte Lindgaard,et al. Attention web designers: You have 50 milliseconds to make a good first impression! , 2006, Behav. Inf. Technol..
[16] Uwe Aßmann,et al. Energy Consumption and Efficiency in Mobile Applications: A User Feedback Study , 2013, 2013 IEEE International Conference on Green Computing and Communications and IEEE Internet of Things and IEEE Cyber, Physical and Social Computing.
[17] Vijay Janapa Reddi,et al. Event-based scheduling for energy-efficient QoS (eQoS) in mobile Web applications , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[18] Vijay Janapa Reddi,et al. GreenWeb: language extensions for energy-efficient mobile web computing , 2016, PLDI.
[19] Oguz Ergin,et al. User-specific skin temperature-aware DVFS for smartphones , 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[20] Rajkishore Barik,et al. A black-box approach to energy-aware scheduling on integrated CPU-GPU systems , 2016, 2016 IEEE/ACM International Symposium on Code Generation and Optimization (CGO).
[21] G. Edward Suh,et al. Prediction-guided performance-energy trade-off for interactive applications , 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[22] Kevin Skadron,et al. HotSpot: a dynamic compact thermal model at the processor-architecture level , 2003, Microelectron. J..
[23] Vivak Patel,et al. Kalman-Based Stochastic Gradient Method with Stop Condition and Insensitivity to Conditioning , 2015, SIAM J. Optim..
[24] Stijn Eyerman,et al. Mechanistic Analytical Modeling of Superscalar In-Order Processor Performance , 2014, ACM Trans. Archit. Code Optim..
[25] Dongbin Xiu,et al. The Wiener-Askey Polynomial Chaos for Stochastic Differential Equations , 2002, SIAM J. Sci. Comput..
[26] Carole-Jean Wu,et al. Characterization and Throttling-Based Mitigation of Memory Interference for Heterogeneous Smartphones , 2015, 2015 IEEE International Symposium on Workload Characterization.
[27] Zheng Wang,et al. Using latency to evaluate interactive system performance , 1996, OSDI '96.
[28] Gunter Saake,et al. Efficient co-processor utilization in database query processing , 2013, Inf. Syst..
[29] James E. Smith,et al. A first-order superscalar processor model , 2004, Proceedings. 31st Annual International Symposium on Computer Architecture, 2004..