Emerging hybrid logic circuits based on non-volatile magnetic memories

As the technology node shrinks down to 90 nm and below, high power becomes one of the major critical issues for CMOS high-speed computing circuits (e.g. logic and cache memory) due to the increasing leakage currents and data traffic. Emerging non-volatile memories are under intense investigation to bring the non-volatility into the logic circuits and then eliminate completely the standby power issue. Thanks to its quasi-infinite endurance, high speed and easy 3D integration at the back-end process of CMOS IC fabrication, Magnetic RAM (MRAM) is considered as one of the most promising candidates. A number of hybrid MRAM/CMOS logic circuits have been proposed and prototyped successfully in the last years. In this introduction paper for the invited special session at NEWCAS 2013, we present an overview and current status of these logic circuits and discuss their potential applications in the future.

[1]  Aaas News,et al.  Book Reviews , 1893, Buffalo Medical and Surgical Journal.

[2]  Greg Goth,et al.  Currents , 2021, IEEE Softw..

[3]  Seong-Ook Jung,et al.  A Magnetic Tunnel Junction Based Zero Standby Leakage Current Retention Flip-Flop , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Eric Belhaire,et al.  CMOS/Magnetic Hybrid Architectures , 2007, 2007 14th IEEE International Conference on Electronics, Circuits and Systems.

[5]  Yiran Chen,et al.  Voltage Driven Nondestructive Self-Reference Sensing Scheme of Spin-Transfer Torque Memory , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Takayuki Kawahara,et al.  Scalable Spin-Transfer Torque RAM Technology for Normally-Off Computing , 2011, IEEE Design & Test of Computers.

[7]  Daisuke Suzuki,et al.  Fabrication of a nonvolatile lookup-table circuit chip using magneto/semiconductor-hybrid structure for an immediate-power-up field programmable gate array , 2009, 2009 Symposium on VLSI Circuits.

[8]  J. Slonczewski Currents, torques, and polarization factors in magnetic tunnel junctions , 2004, cond-mat/0404210.

[9]  Yi Gang,et al.  A High-Reliability, Low-Power Magnetic Full Adder , 2011, IEEE Transactions on Magnetics.

[10]  B. Diény,et al.  Spin-transfer effect and its use in spintronic components , 2010 .

[11]  Yiran Chen,et al.  Design of Last-Level On-Chip Cache Using Spin-Torque Transfer RAM (STT RAM) , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[12]  A. Fert,et al.  The emergence of spin electronics in data storage. , 2007, Nature materials.

[13]  Weisheng Zhao,et al.  High Density Spin-Transfer Torque (STT)-MRAM Based on Cross-Point Architecture , 2012, 2012 4th IEEE International Memory Workshop.

[14]  H.-S. Philip Wong,et al.  Phase Change Memory , 2010, Proceedings of the IEEE.

[15]  C. Chappert,et al.  Non-adiabatic spin-torques in narrow magnetic domain walls , 2010 .

[16]  Weisheng Zhao,et al.  A compact model of domain wall propagation for logic and memory design , 2011 .

[17]  Luan Tran,et al.  45nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[18]  Jacques-Olivier Klein,et al.  Cross-Point Architecture for Spin-Transfer Torque Magnetic Random Access Memory , 2012, IEEE Transactions on Nanotechnology.

[19]  Yiran Chen,et al.  A novel architecture of the 3D stacked MRAM L2 cache for CMPs , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[20]  Weisheng Zhao,et al.  Strain-controlled magnetic domain wall propagation in hybrid piezoelectric/ferromagnetic structures , 2013, Nature Communications.

[21]  Lionel Torres,et al.  Non-volatile run-time field-programmable gate arrays structures using thermally assisted switching magnetic random access memories , 2010, IET Comput. Digit. Tech..

[22]  Weisheng Zhao,et al.  Compact Modeling of Perpendicular-Anisotropy CoFeB/MgO Magnetic Tunnel Junctions , 2012, IEEE Transactions on Electron Devices.

[23]  J. Nowak,et al.  Spin torque switching of perpendicular Ta∣CoFeB∣MgO-based magnetic tunnel junctions , 2011 .

[24]  H. Ohno,et al.  Fabrication of a Nonvolatile Full Adder Based on Logic-in-Memory Architecture Using Magnetic Tunnel Junctions , 2008 .

[25]  Eric Belhaire,et al.  Spin transfer torque (STT)-MRAM--based runtime reconfiguration FPGA circuit , 2009, TECS.

[26]  R. W. Dave,et al.  A 4-Mb toggle MRAM based on a novel bit and switching method , 2005, IEEE Transactions on Magnetics.

[27]  Weisheng Zhao,et al.  High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits , 2009, IEEE Transactions on Magnetics.

[28]  Mahmut T. Kandemir,et al.  Leakage Current: Moore's Law Meets Static Power , 2003, Computer.

[29]  C. Luchini,et al.  [High speed]. , 1969, Revista De La Escuela De Odontologia, Universidad Nacional De Tucuman, Facultad De Medicina.

[30]  T. Devolder,et al.  Self-Enabled “Error-Free” Switching Circuit for Spin Transfer Torque MRAM and Logic , 2012, IEEE Transactions on Magnetics.

[31]  Eric Belhaire,et al.  New non‐volatile logic based on spin‐MTJ , 2008 .

[32]  Lionel Torres,et al.  Embedded MRAM for high-speed computing , 2011, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip.

[33]  W. Black,et al.  Programmable logic using giant-magnetoresistance and spin-dependent tunneling devices (invited) , 2000 .

[34]  Tohru Ozaki,et al.  A 64-Mb Chain FeRAM With Quad BL Architecture and 200 MB/s Burst Mode , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[35]  Weisheng Zhao,et al.  A compact model for magnetic tunnel junction (MTJ) switched by thermally assisted Spin transfer torque (TAS + STT) , 2011, Nanoscale research letters.

[36]  C. Rettner,et al.  Current-Controlled Magnetic Domain-Wall Nanowire Shift Register , 2008, Science.