Monolithic electronic-photonic integration in state-of-the-art CMOS processes

As silicon CMOS transistors have scaled, increasing the density and energy efficiency of computation on a single chip, the off-chip communication link to memory has emerged as the major bottleneck within modern processors. Photonic devices promise to break this bottleneck with superior bandwidth-density and energy-efficiency. Initial work by many research groups to adapt photonic device designs to a silicon-based material platform demonstrated suitable independent performance for such links. However, electronicphotonic integration attempts to date have been limited by the high cost and complexity associated with modifying CMOS platforms suitable for modern high-performance computing applications. In this work, we instead utilize existing state-of-the-art electronic CMOS processes to fabricate integrated photonics by: modifying designs to match the existing process; preparing a design-rule compliant layout within industry-standard CAD tools; and locallyremoving the handle silicon substrate in the photonic region through post-processing. This effort has resulted in the fabrication of seven test chips from two major foundries in 28, 45, 65 and 90 nm CMOS processes. Of these efforts, a single die fabricated through a widely available 45nm SOI-CMOS mask-share foundry with integrated waveguides with 3.7 dB/cm propagation loss alongside unmodified electronics with less than 5 ps inverter stage delay serves as a proof-of-concept for this approach. Demonstrated photonic devices include high-extinction carrier-injection modulators, 8-channel wavelength division multiplexing filter banks and low-efficiency silicon germanium photodetectors. Simultaneous electronicphotonic functionality is verified by recording a 600 Mb/s eye diagram from a resonant modulator driven by integrated digital circuits. Initial work towards photonic device integration within the peripheral CMOS flow of a memory process that has resulted in polysilicon waveguide propagation losses of 6.4 dB/cm will also be presented. Thesis Supervisor: Rajeev J. Ram Title: Professor of Electrical Engineering

[1]  Hanqing Li,et al.  Demonstration of an electronic photonic integrated circuit in a commercial scaled bulk CMOS process , 2008, 2008 Conference on Lasers and Electro-Optics and 2008 Conference on Quantum Electronics and Laser Science.

[2]  Anthony Chan Carusone,et al.  A 5-Gbit/s CMOS Optical Receiver With Integrated Spatially Modulated Light Detector and Equalization , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.

[3]  M. Paniccia,et al.  A high-speed silicon optical modulator based on a metal–oxide–semiconductor capacitor , 2004, Nature.

[4]  M. Ida,et al.  A 43-Gb/s clock and data recovery OEIC integrating an InP-InGaAs HPT oscillator with an HBT decision circuit , 2004, IEEE Journal of Selected Topics in Quantum Electronics.

[5]  Manfred Eich,et al.  Optimized grating coupler with fully etched slots. , 2009, Optics express.

[6]  G. G. Shahidi SOI technology for the GHz era , 2002, IBM J. Res. Dev..

[7]  Katsumi Furuya,et al.  Fine thickness control of amorphous silicon by wet-etching for low loss wire waveguide , 2011, 8th IEEE International Conference on Group IV Photonics.

[8]  Jeffrey D. Gilbert,et al.  Over one million TPCC with a 45nm 6-core Xeon® CPU , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[9]  Lokesh Sharma,et al.  A 32nm Westmere-EX Xeon® enterprise processor , 2011, 2011 IEEE International Solid-State Circuits Conference.

[10]  Benjamin Moss High-speed modulation of resonant CMOS photonic modulators in deep-submicron CMOS , 2009 .

[11]  Roel Baets,et al.  Towards foundry approach for silicon photonics: silicon photonics platform ePIXfab , 2009 .

[12]  R. Baets,et al.  Fabrication of Uniform Photonic Devices Using 193nm Optical Lithography in Silicon-on-Insulator , 2008 .

[13]  Xin Wang,et al.  1x4 reconfigurable demultiplexing filter based on free-standing silicon racetrack resonators. , 2010, Optics express.

[14]  N. Feng,et al.  36 GHz submicron silicon waveguide germanium photodetector. , 2011, Optics express.

[15]  Jeffrey S. Vetter,et al.  Performance evaluation of the Cray X1 distributed shared memory architecture , 2004, Proceedings. 12th Annual IEEE Symposium on High Performance Interconnects.

[16]  P. Dumon,et al.  Fabrication of Photonic Wire and Crystal Circuits in Silicon-on-Insulator Using 193-nm Optical Lithography , 2009, Journal of Lightwave Technology.

[17]  E. Alon,et al.  Ultra-efficient 10 Gb/s hybrid integrated silicon photonic transmitter and receiver. , 2011, Optics express.

[18]  J. Cunningham,et al.  A 36-channel parallel optical interconnect module based on optoelectronics-on-VLSI technology , 2003 .

[19]  Ana Paula V. Pais,et al.  Developing a distributed architecture for design rule checking , 2001, Proceedings of the 44th IEEE 2001 Midwest Symposium on Circuits and Systems. MWSCAS 2001 (Cat. No.01CH37257).

[20]  C. Camperi-Ginestet,et al.  Vertical electrical interconnection of compound semiconductor thin-film devices to underlying silicon circuitry , 1992, IEEE Photonics Technology Letters.

[21]  Jeong-Taek Kong,et al.  CAD for nanometer silicon design challenges and success , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[22]  Alwyn J. Seeds,et al.  1.3-mu m InAs/GaAs quantum-dot lasers monolithically grown on Si substrates , 2011 .

[23]  A. R. Kovsh,et al.  Error-free 10 Gbit/s transmission using individual Fabry-Perot modes of low-noise quantum-dot laser , 2007 .

[24]  David L. Luck,et al.  Adiabatic Resonant Microrings (ARMs) with directly integrated thermal microphotonics , 2009, 2009 Conference on Lasers and Electro-Optics and 2009 Conference on Quantum electronics and Laser Science Conference.

[25]  Tolga Tekin,et al.  Review of Packaging of Optoelectronic, Photonic, and MEMS Components , 2011, IEEE Journal of Selected Topics in Quantum Electronics.

[26]  Hyo-Soon Kang,et al.  Si avalanche photodetectors fabricated in standard complementary metal-oxide-semiconductor process , 2007 .

[27]  Chih-Hsing Lin,et al.  A 10 to 11.5GHz rotational phase and frequency detector for clock recovery circuit , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[28]  Jie Sun,et al.  Nanophotonic integration in state-of-the-art CMOS foundries. , 2011, Optics express.

[29]  G. Masini,et al.  A Four-Channel, 10 Gbps Monolithic Optical Receiver In 130nm CMOS With Integrated Ge Waveguide Photodetectors , 2007, OFC/NFOEC 2007 - 2007 Conference on Optical Fiber Communication and the National Fiber Optic Engineers Conference.

[30]  Michal Lipson,et al.  Oxidized Silicon-On-Insulator (OxSOI) from bulk silicon: a new photonic platform , 2010, CLEO/QELS: 2010 Laser Science to Photonic Applications.

[31]  Shinji Nishimura,et al.  A 25-Gb/s, 2.8-mW/Gb/s low power CMOS optical receiver for 100-Gb/s Ethernet solution , 2009, 2009 35th European Conference on Optical Communication.

[32]  Jonathan Leu A 9GHz injection locked loop optical clock receiver in 32-nm CMOS , 2010 .

[33]  Bo Yang,et al.  High-speed short-wavelength silicon photodetectors fabricated in 130-nm CMOS process , 2003, SPIE OPTO.

[34]  David A. B. Miller,et al.  Device Requirements for Optical Interconnects to Silicon Chips , 2009, Proceedings of the IEEE.

[35]  Dae-Hyun Kim,et al.  A Self-Aligned InGaAs HEMT Architecture for Logic Applications , 2009, IEEE Transactions on Electron Devices.

[36]  Sorin Cristoloveanu,et al.  Integration of buried insulators with high thermal conductivity in SOI MOSFETs: Thermal properties and short channel effects , 2005 .

[37]  N. Feng,et al.  Low power and compact reconfigurable multiplexing devices based on silicon microring resonators. , 2010, Optics express.

[38]  Joe C. Campbell,et al.  A 1-Gb/s monolithically integrated silicon NMOS optical receiver , 1998 .

[39]  M. Fisher,et al.  Current view of large scale photonic integrated circuits on indium phosphide , 2010, 22nd IEEE International Semiconductor Laser Conference.

[40]  Sung Min Park,et al.  An 8.5-Gb/s Fully Integrated CMOS Optoelectronic Receiver Using Slope-Detection Adaptive Equalizer , 2010, IEEE Journal of Solid-State Circuits.

[41]  Rajeev J Ram,et al.  Enhanced two-photon absorption in polycrystalline silicon , 2011, CLEO: 2011 - Laser Science to Photonic Applications.

[42]  D. Marris-Morini,et al.  Light injection in SOI microwaveguides using high-efficiency grating couplers , 2006, Journal of Lightwave Technology.

[43]  H. Tsang,et al.  Apodized Waveguide Grating Couplers for Efficient Coupling to Optical Fibers , 2010, IEEE Photonics Technology Letters.

[44]  J. Witzens,et al.  Monolithically integrated high-speed CMOS photonic transceivers , 2008, 2008 5th IEEE International Conference on Group IV Photonics.

[45]  Constance J. Chang-Hasnain Nanolasers Grown on Silicon , 2012 .

[46]  Jason T. S. Liao,et al.  Optical I/O technology for tera-scale computing , 2009, ISSCC 2009.

[47]  Mehdi Asghari,et al.  Silicon Photonics: A Low Cost Integration Platform for Datacom and Telecom Applications , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[48]  Osamu Ikenaga,et al.  Photomask repeater strategy for high-quality and low-cost reticle fabrication , 2003, Photomask Japan.

[49]  Christopher Batten,et al.  Designing multi-socket systems using silicon photonics , 2009, ICS.

[50]  Y. Ohtomo,et al.  A single-chip 3.5 Gb/s CMOS/SIMOX transceiver with automatic-gain-control and automatic-power-control circuits , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[51]  Cary Gunn,et al.  CMOS Photonics for High-Speed Interconnects , 2006, IEEE Micro.

[52]  Y. Ohtomo,et al.  A novel p-i-n photodetector fabricated on SIMOX for 1 GHz 2 V CMOS OEICs , 1998, International Electron Devices Meeting 1998. Technical Digest (Cat. No.98CH36217).

[53]  R. Allmon,et al.  High-performance microprocessor design , 1998, IEEE J. Solid State Circuits.

[54]  J. Fong,et al.  Low-Loss Polarization-Insensitive Silicon-on-Insulator-Based WDM Filter for Triplexer Applications , 2008, IEEE Photonics Technology Letters.

[55]  C. Lemuet,et al.  The Potential Energy Efficiency of Vector Acceleration , 2006, ACM/IEEE SC 2006 Conference (SC'06).

[56]  Ashok V. Krishnamoorthy,et al.  Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.

[57]  Qianfan Xu,et al.  12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.

[58]  Rung-Bin Lin,et al.  Reticle Design for Minimizing Multiproject Wafer Production Cost , 2007, IEEE Transactions on Automation Science and Engineering.

[59]  D. Paul Si/SiGe heterostructures: from material and physics to devices and circuits , 2004 .

[60]  Geert Morthier,et al.  An ultra-small, low power all-optical flip-flop memory on a silicon chip , 2010 .

[61]  Rajeev J Ram,et al.  High speed analog-to-digital conversion with silicon photonics , 2009, OPTO.

[62]  C. L. Schow,et al.  A silicon-based integrated NMOS-p-i-n photoreceiver , 1996 .

[63]  M. K. Gowan,et al.  A 65 nm 2-Billion Transistor Quad-Core Itanium Processor , 2009, IEEE Journal of Solid-State Circuits.

[64]  Qianfan Xu,et al.  Micrometre-scale silicon electro-optic modulator , 2005, Nature.

[65]  Kevin Skadron,et al.  Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..

[66]  Mario Martinelli,et al.  Synthesis of direct-coupled-resonators bandpass filters for WDM systems , 2002 .

[67]  Mike Ignatowski,et al.  Exploitation of optical interconnects in future server architectures , 2005, IBM J. Res. Dev..

[68]  H. Sakaki,et al.  Multidimensional quantum well laser and temperature dependence of its threshold current , 1982 .

[69]  Peng Liu,et al.  An intra-chip free-space optical interconnect , 2010, ISCA.

[70]  Woo-Young Choi,et al.  10-Gb/s 850-nm CMOS OEIC Receiver With a Silicon Avalanche Photodetector , 2012, IEEE Journal of Quantum Electronics.

[71]  Jonathan Chang,et al.  A 45nm 24MB on-die L3 cache for the 8-core multi-threaded Xeon® Processor , 2009, 2009 Symposium on VLSI Circuits.

[72]  Dawei Zheng,et al.  Efficient silicon-photonic modulator with recessed electrodes , 2008, SPIE OPTO.

[73]  M. Lipson,et al.  Low loss etchless silicon photonic waveguides , 2009, 2009 Conference on Lasers and Electro-Optics and 2009 Conference on Quantum electronics and Laser Science Conference.

[74]  J. Cunningham,et al.  GaAs 850 nm modulators solder-bonded to silicon , 1993, IEEE Photonics Technology Letters.

[75]  N. Feng,et al.  Wavelength-tunable silicon microring modulator. , 2010, Optics express.

[76]  J. Zerbe,et al.  Design considerations for low-power high-performance mobile logic and memory interfaces , 2008, 2008 IEEE Asian Solid-State Circuits Conference.

[77]  M. Lipson,et al.  Low-power-consumption short-length and high-modulation-depth silicon electrooptic modulator , 2003 .

[78]  Rajesh Kumar,et al.  A family of 45nm IA processors , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.

[79]  D. Van Thourhout,et al.  Compact Wavelength-Selective Functions in Silicon-on-Insulator Photonic Wires , 2006, IEEE Journal of Selected Topics in Quantum Electronics.

[80]  Li Shang,et al.  Power, Thermal, and Reliability Modeling in Nanometer-Scale Microprocessors , 2007, IEEE Micro.

[81]  Andrea Knigge,et al.  Passively cooled 940 nm laser bars with 73% wall-plug efficiency at 70 W and 25°C , 2005 .

[82]  Sadaf R. Alam,et al.  An Analysis of System Balance Requirements for Scientific Applications , 2006, 2006 International Conference on Parallel Processing (ICPP'06).

[83]  Dan Botez,et al.  73% CW power conversion efficiency at 50 W from 970 nm diode laser bars , 2005 .

[84]  Chen Sun,et al.  Addressing link-level design tradeoffs for integrated photonic interconnects , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

[85]  B. Jalali,et al.  5 x 9 integrated optical star coupler in silicon-on-insulator technology , 1996, IEEE Photonics Technology Letters.

[86]  J. Campbell,et al.  Integrated silicon optical receiver with avalanche photodiode , 2003 .

[87]  Anthony L. Lentine,et al.  Monolithic integration of silicon electronics and photonics , 2011, IEEE Winter Topicals 2011.

[88]  M. Watts,et al.  Ultra compact 45 GHz CMOS compatible Germanium waveguide photodiode with low dark current. , 2011, Optics express.

[89]  N. Feng,et al.  Low loss shallow-ridge silicon waveguides. , 2010, Optics express.

[90]  S. Sze Semiconductor Devices: Physics and Technology , 1985 .

[91]  J. Michel,et al.  Toward a Germanium Laser for Integrated Silicon Photonics , 2010, IEEE Journal of Selected Topics in Quantum Electronics.

[92]  Howard Wang,et al.  Nanophotonic Optical Interconnection Network Architecture for On-Chip and Off-Chip Communications , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[93]  Michael Bucher,et al.  A 4.3 GB/s Mobile Memory Interface With Power-Efficient Bandwidth Scaling , 2010, IEEE Journal of Solid-State Circuits.

[94]  Michal Lipson,et al.  Multi-layer low-temperature deposited CMOS photonics for microelectronics backend integration , 2011, CLEO: 2011 - Laser Science to Photonic Applications.

[95]  Tymon Barwicz,et al.  Accurate resonant frequency spacing of microring filters without postfabrication trimming , 2006 .

[96]  S. Cloutier,et al.  Optical gain and stimulated emission in periodic nanopatterned crystalline silicon , 2005, Nature materials.

[97]  Tsu-Jae King Liu,et al.  Technologies for Cofabricating MEMS and Electronics , 2008, Proceedings of the IEEE.

[98]  Oliver Brand,et al.  Microsensor Integration Into Systems-on-Chip , 2006, Proceedings of the IEEE.

[99]  P.-T. Ho,et al.  Higher order filter response in coupled microring resonators , 2000, IEEE Photonics Technology Letters.

[100]  Daan Lenstra,et al.  Confinement factors and gain in optical amplifiers , 1997 .

[101]  Patrick Chiang,et al.  A 0.6 mW/Gb/s, 6.4–7.2 Gb/s Serial Link Receiver Using Local Injection-Locked Ring Oscillators in 90 nm CMOS , 2010, IEEE Journal of Solid-State Circuits.

[102]  Xiaoye S. Li Evaluation of Sparse LU Factorization and Triangular Solution on Multicore Platforms , 2008, VECPAR.

[103]  Sorin Cristoloveanu,et al.  Fringing fields in sub-0.1 μm fully depleted SOI MOSFETs: optimization of the device architecture , 2002 .

[104]  J.E. Cunningham,et al.  Applications and Challenges for Silicon Photonics in Optical Interconnects , 2007, LEOS 2007 - IEEE Lasers and Electro-Optics Society Annual Meeting Conference Proceedings.

[105]  Tao Jiang,et al.  A 0.6mW/Gbps, 6.4–8.0Gbps serial link receiver using local injection-locked ring oscillators in 90nm CMOS , 2009, 2009 Symposium on VLSI Circuits.

[106]  Miriam Reshotko,et al.  Integration of nano-photonic devices for CMOS chip-to-chip optical I/O , 2010, CLEO/QELS: 2010 Laser Science to Photonic Applications.

[107]  Vivek Tiwari,et al.  Reducing power in high-performance microprocessors , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[108]  Jonathan Chang,et al.  A 45 nm 8-Core Enterprise Xeon¯ Processor , 2009, IEEE Journal of Solid-State Circuits.

[109]  Matthew Mattina,et al.  Tarantula: a vector extension to the alpha architecture , 2002, Proceedings 29th Annual International Symposium on Computer Architecture.

[110]  R. Jager,et al.  High-efficiency laterally-oxidized InGaAs-AlGaAs single-mode lasers , 1998, Conference Digest. ISLC 1998 NARA. 1998 IEEE 16th International Semiconductor Laser Conference (Cat. No. 98CH361130).

[111]  Yuan Taur,et al.  Fundamentals of Modern VLSI Devices , 1998 .

[112]  T. Barwicz,et al.  Global design rules for silicon microphotonic waveguides: Sensitivity, polarization and resonance tunability , 2006, 2006 Conference on Lasers and Electro-Optics and 2006 Quantum Electronics and Laser Science Conference.

[113]  C. Henry,et al.  Efficient multichannel integrated optics star coupler on silicon , 1989, IEEE Photonics Technology Letters.

[114]  D. Welch,et al.  A brief history of high-power semiconductor lasers , 2000, IEEE Journal of Selected Topics in Quantum Electronics.

[115]  Lars W. Liebmann,et al.  OPC simplification and mask cost reduction using regular design fabrics , 2009, Advanced Lithography.

[116]  Ching-Liang Dai,et al.  A capacitive humidity sensor integrated with micro heater and ring oscillator circuit fabricated by CMOS–MEMS technique , 2007 .

[117]  Jie Zhang,et al.  3-GHz Silicon Photodiodes Integrated in a 0.18-$\mu$m CMOS Technology , 2008, IEEE Photonics Technology Letters.

[118]  Theodore I. Kamins,et al.  Device Electronics for Integrated Circuits , 1977 .

[119]  Fook-Luen Heng,et al.  Enabling alternating phase shifted mask designs for a full logic gate level: design rules and design rule checking , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[120]  Accurate frequency alignment in fabrication of high-order microring-resonator filters. , 2008, Optics express.

[121]  Ashok V. Krishnamoorthy,et al.  10 Gbps, 530 fJ/b optical transceiver circuits in 40 nm CMOS , 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.

[122]  E. Alon,et al.  A sub-picojoule-per-bit CMOS photonic receiver for densely integrated systems. , 2010, Optics express.

[123]  Christopher Batten,et al.  Re-architecting DRAM memory systems with monolithically integrated silicon photonics , 2010, ISCA.

[124]  A. Pietrzak,et al.  Passively Cooled TM Polarized 808-nm Laser Bars With 70% Power Conversion at 80-W and 55-W Peak Power per 100- $\mu$m Stripe Width , 2008, IEEE Photonics Technology Letters.

[125]  H. Urbach,et al.  Optimized 3-D Simulation Method for Modeling Out-of-Plane Radiation in Silicon Photonic Integrated Circuits , 2011, IEEE Journal of Quantum Electronics.

[126]  M. Lipson,et al.  Waveguide-integrated telecom-wavelength photodiode in deposited silicon. , 2011, Optics letters.

[127]  T. Krauss,et al.  An out-of-plane grating coupler for efficient butt-coupling between compact planar waveguides and single-mode fibers , 2002 .

[128]  David F. Welch,et al.  Large-Scale Photonic Integrated Circuits , 2007 .

[129]  Alexander V. Rylyakov,et al.  20Gbps receiver based on germanium photodetector hybrid-integrated with 90nm CMOS amplifier , 2011, CLEO: 2011 - Laser Science to Photonic Applications.

[130]  R. Chau,et al.  A 90-nm logic technology featuring strained-silicon , 2004, IEEE Transactions on Electron Devices.

[131]  K. Bhattacharyya,et al.  A Cost Model Comparing the Economics of Reticle Requalification Methods in Advanced Wafer fabs , 2007, 2007 IEEE/SEMI Advanced Semiconductor Manufacturing Conference.

[132]  Jian Zhang,et al.  A 3-D Integrated Intrachip Free-Space Optical Interconnect for Many-Core Chips , 2011, IEEE Photonics Technology Letters.

[133]  S. Murthy,et al.  Large-scale DWDM photonic integrated circuits: a manufacturable and scalable integration platform , 2005, 2005 IEEE LEOS Annual Meeting Conference Proceedings.

[134]  D. Van Thourhout,et al.  Low-loss SOI photonic wires and ring resonators fabricated with deep UV lithography , 2004, IEEE Photonics Technology Letters.

[135]  M. Lipson,et al.  Deposited silicon high-speed integrated electro-optic modulator. , 2009, Optics express.

[136]  T. Barwicz,et al.  Three-dimensional analysis of scattering losses due to sidewall roughness in microphotonic waveguides , 2005, Journal of Lightwave Technology.

[137]  Technology development & design for 22 nm InGaAs/InP-channel MOSFETs , 2008, 2008 20th International Conference on Indium Phosphide and Related Materials.

[138]  Samuel Palermo,et al.  Optical technology for energy efficient I/O in high performance computing , 2010, IEEE Communications Magazine.

[139]  Ying Zhang,et al.  CMOS-integrated high-speed MSM germanium waveguide photodetector. , 2010, Optics express.

[140]  Samuel Palermo,et al.  Low-power 8Gb/s near-threshold serial link receivers using super-harmonic injection locking in 65nm CMOS , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

[141]  Yuko Sawada,et al.  Study of package warp behavior for high-performance flip-chip BGA , 2003, Microelectron. Reliab..

[142]  F. Xia,et al.  Ultracompact optical buffers on a silicon chip , 2007 .

[143]  Sharath Patil Distributed model for thermal characterisation of oxide isolated silicon germanium heterojunction bipolar transistors , 2011 .

[144]  Po Dong,et al.  High speed carrier-depletion modulators with 1.4V-cm V(pi)L integrated on 0.25microm silicon-on-insulator waveguides. , 2010, Optics express.

[145]  E. Shumakher,et al.  An InP HBT-Based Oscillator Monolithically Integrated With a Photodiode , 2008, Journal of Lightwave Technology.

[146]  E. Palik Handbook of Optical Constants of Solids , 1997 .

[147]  L. Sekaric,et al.  Ultra-compact, low RF power, 10 Gb/s silicon Mach-Zehnder modulator. , 2007, Optics express.

[148]  J. Audet,et al.  Chip/Package Design and Technology Trade-offs in the 65nm Cell Broadband Engine , 2007, 2007 Proceedings 57th Electronic Components and Technology Conference.

[149]  D. S. Wills,et al.  The heterogeneous integration of optical interconnections into integrated microsystems , 2003 .

[150]  Michal Lipson,et al.  2.5 Gbps Electro-optic modulator in deposited silicon , 2009, 2009 Conference on Lasers and Electro-Optics and 2009 Conference on Quantum electronics and Laser Science Conference.

[151]  Dan Botez,et al.  66% CW wallplug efficiency from Al-free 0.98 /spl mu/m-emitting diode lasers , 1996 .

[152]  Madhav Datta,et al.  Microelectronic Packaging Trends and the Role of Nanotechnology , 2010 .

[153]  Ting Wu,et al.  An 8Gb/s/link, 6.5mW/Gb/s memory interface with bimodal request bus , 2009, 2009 IEEE Asian Solid-State Circuits Conference.

[154]  Luca P. Carloni,et al.  On the Design of a Photonic Network-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).

[155]  K. J. Gabriel,et al.  Post-CMOS processing for high-aspect-ratio integrated silicon microstructures , 2002 .

[156]  Ying-Zong Juang,et al.  An approach to fabricating microstructures that incorporate circuits using a post-CMOS process , 2005 .

[157]  M. Berroth,et al.  2-gb/s CMOS optical integrated receiver with a spatially Modulated photodetector , 2005, IEEE Photonics Technology Letters.

[158]  Wei Chen,et al.  The 65-nm 16-MB Shared On-Die L3 Cache for the Dual-Core Intel Xeon Processor 7100 Series , 2007, IEEE Journal of Solid-State Circuits.

[159]  Yeshaiahu Fainman,et al.  Etch-free low loss silicon waveguides using hydrogen silsesquioxane oxidation masks. , 2011, Optics express.

[160]  Jeremy Witzens,et al.  10Gb/s 15mW optical receiver with integrated Germanium photodetector and hybrid inductor peaking in 0.13µm SOI CMOS technology , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[161]  F. Gan,et al.  CMOS-Compatible All-Si High-Speed Waveguide Photodiodes With High Responsivity in Near-Infrared Communication Band , 2007, IEEE Photonics Technology Letters.

[162]  Martin A. Green,et al.  Third generation photovoltaics: Ultra‐high conversion efficiency at low cost , 2001 .

[163]  Payman Zarkesh-Ha,et al.  Interconnect opportunities for gigascale integration , 2002, IBM J. Res. Dev..

[164]  D. Brown,et al.  Near 1 kW of Continuous-Wave Power From a Single High-Efficiency Diode-Laser Bar , 2007, IEEE Photonics Technology Letters.

[165]  Christopher Batten,et al.  Building Many-Core Processor-to-DRAM Networks with Monolithic CMOS Silicon Photonics , 2009, IEEE Micro.

[166]  Luca P. Carloni,et al.  Photonic NoCs: System-Level Design Exploration , 2009, IEEE Micro.

[167]  Nahum Izhaky,et al.  High-speed optical modulation based on carrier depletion in a silicon waveguide. , 2007, Optics express.

[168]  M. Horowitz,et al.  A 14-mW 6.25-Gb/s Transceiver in 90-nm CMOS , 2007, IEEE Journal of Solid-State Circuits.

[169]  James E. Jaussi,et al.  The future of electrical I/O for microprocessors , 2009, 2009 International Symposium on VLSI Design, Automation and Test.

[170]  P. Chiniwalla,et al.  Chip-to-chip optical interconnects , 2006, 2006 Optical Fiber Communication Conference and the National Fiber Optic Engineers Conference.

[171]  A. Emami-Neyestanak,et al.  A 1.6 Gb/s, 3 mW CMOS receiver for optical communication , 2002, 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302).

[172]  Bryan Casper,et al.  Clocking Analysis, Implementation and Measurement Techniques for High-Speed Data Links—A Tutorial , 2009, IEEE Transactions on Circuits and Systems I: Regular Papers.

[173]  Xiang Zhang,et al.  On-Chip photonic interconnects for scalable multi-core architectures , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[174]  R. Sobolewski,et al.  Monolithic Integration of Ultrafast Photodetector and MESFET in the GaN Material System , 2011, IEEE Photonics Technology Letters.

[175]  Anthony L Lentine,et al.  Vertical junction silicon microdisk modulators and switches. , 2011, Optics express.

[176]  M. Ziari,et al.  Monolithic integration of waveguide polymer electrooptic modulators on VLSI circuitry , 1996, IEEE Photonics Technology Letters.

[177]  J.J. Neumann,et al.  A fully-integrated CMOS-MEMS audio microphone , 2003, TRANSDUCERS '03. 12th International Conference on Solid-State Sensors, Actuators and Microsystems. Digest of Technical Papers (Cat. No.03TH8664).

[178]  Margaret Martonosi,et al.  An Edge-endpoint-based Configurable Hardware Architecture for VLSI Layout Design Rule Checking , 2000, VLSI Design.

[179]  D. Ahn,et al.  Electronic-photonic integrated circuits on the CMOS platform , 2006, SPIE OPTO.

[180]  R. Puchert,et al.  Modelling the temperature dependence of threshold current, external differential efficiency and lasing wavelength in QW laser diodes , 1995 .

[181]  F. Xia,et al.  High-throughput silicon nanophotonic wavelength-insensitive switch for on-chip optical networks , 2008 .

[182]  R. Ho,et al.  Ultra-low-energy all-CMOS modulator integrated with driver. , 2010, Optics express.

[183]  Uri C. Weiser,et al.  Interconnect-power dissipation in a microprocessor , 2004, SLIP '04.

[184]  Michal Lipson,et al.  Polysilicon photonic resonators for large-scale 3D integration of optical networks. , 2007, Optics express.

[185]  James K. Guenter,et al.  Reliability of various size oxide aperture VCSELs , 2002, 52nd Electronic Components and Technology Conference 2002. (Cat. No.02CH37345).

[186]  I. Balslev,et al.  Influence of Uniaxial Stress on the Indirect Absorption Edge in Silicon and Germanium , 1966 .

[187]  J. Bowers,et al.  Electrically pumped hybrid AlGaInAs-silicon evanescent laser. , 2006, Optics express.

[188]  P.M. Kogge An exploration of the technology space for multi-core memory/logic chips for highly scalable parallel systems , 2005, Innovative Architecture for Future Generation High-Performance Processors and Systems (IWIA'05).

[189]  Thomas Toifl,et al.  A T-Coil-Enhanced 8.5 Gb/s High-Swing SST Transmitter in 65 nm Bulk CMOS With $≪ -$16 dB Return Loss Over 10 GHz Bandwidth , 2008, IEEE Journal of Solid-State Circuits.

[190]  Yue-Ming Hsin,et al.  A High-Speed and High-Responsivity Photodiode in Standard CMOS Technology , 2007, IEEE Photonics Technology Letters.

[191]  Reinhard Blickhan,et al.  A multi-layer-perceptron neural network hardware based on 3D massively parallel optoelectronic circuits , 1999, Proceedings. 6th International Conference on Parallel Interconnects (PI'99) (Formerly Known as MPPOI).

[192]  D. Miller,et al.  Optical interconnects to silicon , 2000, IEEE Journal of Selected Topics in Quantum Electronics.

[193]  Jeffrey A. Kash,et al.  Leveraging Optical Interconnects in Future Supercomputers and Servers , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[194]  P. Dumon,et al.  Basic structures for photonic integrated circuits in Silicon-on-insulator. , 2004, Optics express.

[195]  T. Ernst,et al.  Buried oxide fringing capacitance: a new physical model and its implication on SOI device scaling and architecture , 1999, 1999 IEEE International SOI Conference. Proceedings (Cat. No.99CH36345).

[196]  Ken Gilleo Area Array Package Design: Techniques in High Density Electronics , 2003 .

[197]  E. Sleeckx,et al.  Highly efficient grating coupler between optical fiber and silicon photonic circuit , 2009, 2009 Conference on Lasers and Electro-Optics and 2009 Conference on Quantum electronics and Laser Science Conference.

[198]  B. Razavi,et al.  A 10-Gb/s CMOS clock and data recovery circuit , 2000, 2000 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.00CH37103).

[199]  Giorgio Baccarani,et al.  Transport properties of polycrystalline silicon films , 1978 .

[200]  Sandeep Koranne A high performance SIMD framework for design rule checking on Sony's PlayStation 2 Emotion Engine platform [IC layout] , 2004, International Symposium on Signals, Circuits and Systems. Proceedings, SCS 2003. (Cat. No.03EX720).

[201]  Jeremy Witzens,et al.  10Gbit/s transceiver on silicon , 2008, SPIE Photonics Europe.

[202]  Rajeev J Ram,et al.  Localized substrate removal technique enabling strong-confinement microphotonics in bulk Si CMOS processes , 2008, 2008 Conference on Lasers and Electro-Optics and 2008 Conference on Quantum Electronics and Laser Science.

[203]  Kazumi Wada,et al.  Monolithic Silicon Microphotonics , 2004 .

[204]  Wei Wang,et al.  CMOS monolithic optoelectronic integrated circuit for on-chip optical interconnection , 2011 .

[205]  R. Nagarajan,et al.  The Realization of Large-Scale Photonic Integrated Circuits and the Associated Impact on Fiber-Optic Communication Systems , 2006, Journal of Lightwave Technology.

[206]  Guo-Qiang Lo,et al.  Silicon Modulators and Germanium Photodetectors on SOI: Monolithic Integration, Compatibility, and Performance Optimization , 2010, IEEE Journal of Selected Topics in Quantum Electronics.

[207]  R. Soref,et al.  Electrooptical effects in silicon , 1987 .

[208]  R. Sobolewski,et al.  Integrated Silicon PIN Photodiodes Using Deep N-Well in a Standard 0.18-$\mu$ m CMOS Technology , 2009, Journal of Lightwave Technology.

[209]  Steve Patterson,et al.  100-W+ diode laser bars show > 71% power conversion from 790- nm to 1000-nm and have clear route to > 85% , 2007, SPIE LASE.

[210]  Minghao Qi,et al.  Silicon-on-Insulator Microring Add-Drop Filters With Free Spectral Ranges Over 30 nm , 2008, Journal of Lightwave Technology.

[211]  Ultrafast Photodetection in an All-Silicon Chip Enabled by Two-Photon Absorption , 2009 .

[212]  Michal Lipson,et al.  CMOS-compatible multiple-wavelength oscillator for on-chip optical interconnects , 2010 .

[213]  Jingdong Luo,et al.  Electro-optic polymer cladding ring resonator modulators. , 2008, Optics express.

[214]  Gianlorenzo Masini,et al.  2.5 Gbit/s polycrystalline germanium-on-silicon photodetector operating from 1.3 to 1.55 μm , 2003 .

[215]  Hybrid-integrated germanium photodetector and CMOS receiver operating at 15 Gb/s , 2011, CLEO: 2011 - Laser Science to Photonic Applications.

[216]  H. Takahashi,et al.  Fabrication of wavelength-insensitive 8*8 star coupler , 1992, IEEE Photonics Technology Letters.

[217]  B. Jagannathan,et al.  Record RF performance of 45-nm SOI CMOS Technology , 2007, 2007 IEEE International Electron Devices Meeting.

[218]  Keith A. Jenkins,et al.  Characteristics of SOI FET's under pulsed conditions , 1997 .

[219]  Xin Zhang,et al.  A novel distributed control and its tolerance analysis for microprocessor power management , 2006, Twenty-First Annual IEEE Applied Power Electronics Conference and Exposition, 2006. APEC '06..

[220]  T. Barwicz,et al.  Fabrication of add-drop filters based on frequency-matched microring resonators , 2006, Journal of Lightwave Technology.

[221]  Ashok V. Krishnamoorthy,et al.  Optoelectronic-VLSI: photonics integrated with VLSI circuits , 1998 .