Design and Verification of High-Speed VLSI Physical Design
暂无分享,去创建一个
[1] Yeong-Dae Kim,et al. A linear programming-based algorithm for floorplanning in VLSI design , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[2] Weiping Shi,et al. A fast hierarchical algorithm for three-dimensional capacitanceextraction , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[3] W. Cai,et al. A fast wavelet collocation method for high-speed circuit simulation , 1999 .
[4] J. Cong,et al. Interconnect design for deep submicron ICs , 1997, ICCAD 1997.
[5] R. K. Brayton,et al. Graph algorithms for clock schedule optimization , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.
[6] Melvin A. Breuer,et al. A class of min-cut placement algorithms , 1988, DAC '77.
[7] Lawrence T. Pillage,et al. Rc Interconnect Synthesis-a Moment Fitting Approach , 1994, IEEE/ACM International Conference on Computer-Aided Design.
[8] C. D. Gelatt,et al. Optimization by Simulated Annealing , 1983, Science.
[9] Hai Zhou,et al. Optimal non-uniform wire-sizing under the Elmore delay model , 1996, ICCAD 1996.
[10] Mattan Kamon,et al. FASTHENRY: a multipole-accelerated 3-D inductance extraction program , 1994 .
[11] Chi-Yuan Lo,et al. Parasitic extraction: current state of the art and future trends , 2001 .
[12] Sachin Sapatnekar,et al. Efficient Thermal Placement of Standard Cells in 3D ICs using a Force Directed Approach , 2003, ICCAD 2003.
[13] Y. Kajitani,et al. The channeled-BSG: a universal floorplan for simultaneous place/route with IC applications , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[14] J. Phillips,et al. Analog Macromodeling using Kernel Methods , 2003, ICCAD 2003.
[15] Jason Cong,et al. Interconnect sizing and spacing with consideration of couplingcapacitance , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[16] Martin D. F. Wong,et al. A polynomial time optimal algorithm for simultaneous buffer and wire sizing , 1998, Proceedings Design, Automation and Test in Europe.
[17] J. S. Neely,et al. Interconnect and circuit modeling techniques for full-chip power supply noise analysis , 1998 .
[18] Marios C. Papaefthymiou,et al. Reduced delay uncertainty in high performance clock distribution networks , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[19] Dennis Sylvester,et al. Impact of small process geometries on microarchitectures in systems on a chip , 2001 .
[20] James D. Meindl,et al. Compact distributed RLC interconnect models - part III: transients in single and coupled lines with capacitive load termination , 2003 .
[21] Yoji Kajitani,et al. VLSI module placement based on rectangle-packing by the sequence-pair , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[22] Naveed A. Sherwani,et al. Algorithms for VLSI Physical Design Automation , 1999, Springer US.
[23] Chung-Kuan Cheng,et al. New performance driven routing techniques with explicit area/delay tradeoff and simultaneous wire sizing , 1996, DAC '96.
[24] Lawrence T. Pileggi,et al. Asymptotic waveform evaluation for timing analysis , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[25] Jacob K. White,et al. A coordinate-transformed Arnoldi algorithm for generating guaranteed stable reduced-order models of RLC circuits , 1996, ICCAD 1996.
[26] Jason Cong,et al. Performance optimization of VLSI interconnect layout , 1996, Integr..
[27] Martin D. F. Wong,et al. Optimal shape function for a bi-directional wire under Elmore delay model , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[28] Majid Sarrafzadeh,et al. Dragon2000: standard-cell placement tool for large industry circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[29] Yehea I. Ismail,et al. Efficient model order reduction including skin effect , 2003, DAC '03.
[30] L.P.P.P. van Ginneken,et al. Buffer placement in distributed RC-tree networks for minimal Elmore delay , 1990 .
[31] L. Greengard. The Rapid Evaluation of Potential Fields in Particle Systems , 1988 .
[32] Rolf Schuhmann,et al. Two-step Lanczos algorithm for model order reduction , 2002 .
[33] James D. Meindl,et al. Compact distributed RLC interconnect models-Part II: Coupled line transient expressions and peak crosstalk in multilevel networks , 2000 .
[34] Neil R. Quinn. The placement problem as viewed from the physics of classical mechanics , 1975, DAC '75.
[35] M. Nakhla,et al. Asymptotic Waveform Evaluation: And Moment Matching for Interconnect Analysis , 1993 .
[36] Massoud Pedram,et al. Model order reduction of large circuits using balanced truncation , 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).
[37] Shih-Hsu Huang,et al. Clock Period Minimization of Non-Zero Clock Skew Circuits , 2003, ICCAD 2003.
[38] Daniel Auvergne,et al. Design and selection of buffers for minimum power-delay product , 1996, Proceedings ED&TC European Design and Test Conference.
[39] Chung-Kuan Cheng,et al. Power network analysis using an adaptive algebraic multigrid approach , 2003, DAC '03.
[40] Chris C. N. Chu,et al. Hybrid dynamic/quadratic programming algorithm for interconnecttree optimization , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[41] Kjell O. Jeppson,et al. CMOS Circuit Speed and Buffer Optimization , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[42] Zhaojun Bai,et al. Error bound for reduced system model by Pade approximation via the Lanczos process , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[43] Xuan Zeng,et al. Direct nonlinear order reduction with variational analysis , 2004, Proceedings Design, Automation and Test in Europe Conference and Exhibition.
[44] L.W. Linholm,et al. An optimized output stage for MOS integrated circuits , 1975, IEEE Journal of Solid-State Circuits.
[45] Sani R. Nassif,et al. Random walks in a supply network , 2003, DAC '03.
[46] Yvon Savaria,et al. Parallel regeneration of interconnections in VLSI & ULSI circuits , 1993, 1993 IEEE International Symposium on Circuits and Systems.
[47] Sani R. Nassif,et al. Power grid reduction based on algebraic multigrid principles , 2003, DAC '03.
[48] Malgorzata Marek-Sadowska,et al. On-chip power supply network optimization using multigrid-based technique , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[49] Dian Zhou,et al. A fast wavelet collocation method for high-speed VLSI circuit simulation , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[50] Daniel Boley. Krylov space methods on state-space control models , 1994 .
[51] D. F. Wong,et al. Closed form solutions to simultaneous buffer insertion/sizing and wire sizing , 2001, ACM Trans. Design Autom. Electr. Syst..
[52] Maggie Zhi-Wei Kang,et al. Delay bounded buffered tree construction for timing driven floorplanning , 1997, ICCAD 1997.
[53] W. C. Elmore. The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .
[54] Patrick H. Madden,et al. Fractional Cut: Improved Recursive Bisection Placement , 2003, ICCAD 2003.
[55] Yehea I. Ismail. Efficient model order reduction via multi-node moment matching , 2002, ICCAD 2002.
[56] Joseph R. Shinnerl,et al. Multilevel optimization for large-scale circuit placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).
[57] John P. Fishburn,et al. Shaping a VLSI wire to minimize Elmore delay , 1997, Proceedings European Design and Test Conference. ED & TC 97.
[58] Roland W. Freund,et al. Reduced-Order Modeling of Large Linear Subcircuits via a Block Lanczos Algorithm , 1995, 32nd Design Automation Conference.
[59] Jason Cong,et al. Multilevel global placement with retiming , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[60] Lawrence T. Pileggi,et al. NORM: compact model order reduction of weakly nonlinear systems , 2003, DAC '03.
[61] Kia Bazargan,et al. Hierarchical global floorplacement using simulated annealing and network flow area migration , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.
[62] Andrew B. Kahng,et al. Can recursive bisection alone produce routable, placements? , 2000, Proceedings 37th Design Automation Conference.
[63] Roland W. Freund,et al. Reduced-order modeling of large passive linear circuits by means of the SYPVL algorithm , 1996, ICCAD 1996.
[64] Jason Cong,et al. Buffered Steiner tree construction with wire sizing for interconnect layout optimization , 1996, ICCAD 1996.
[65] Richard C. Jaeger,et al. Comments on "An optimized output stage for MOS integrated circuits" [with reply] , 1975 .
[66] Lawrence T. Pileggi,et al. PRIMA: passive reduced-order interconnect macromodeling algorithm , 1997, ICCAD 1997.
[67] Jason Cong,et al. Wire width planning for interconnect performance optimization , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[68] Andrew B. Kahng,et al. An analytical delay model for RLC interconnects , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[69] Chung-Ping Chen,et al. A fast algorithm for optimal wire-sizing under Elmore delay model , 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.
[70] James D. Meindl,et al. Compact distributed RLC interconnect models - part IV: unified models for time delay, crosstalk, and repeater insertion , 2003 .
[71] Chris C. N. Chu,et al. FastPlace: efficient analytical placement using cell shifting, iterative local refinement,and a hybrid net model , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[72] Jacob K. White,et al. FastCap: a multipole accelerated 3-D capacitance extraction program , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[73] Hong Yu,et al. CASH: a novel quadratic placement algorithm for very large standard cell layout design based on clustering , 1998, 1998 5th International Conference on Solid-State and Integrated Circuit Technology. Proceedings (Cat. No.98EX105).
[74] Weiping Shi,et al. Improving boundary element methods for parasitic extraction , 2003, ASP-DAC '03.
[75] Jason Cong,et al. Simultaneous buffer and wire sizing for performance and power optimization , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.
[76] Sachin S. Sapatnekar,et al. A graph-theoretic approach to clock skew optimization , 1994, Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS '94.
[77] Jason Cong,et al. Performance-Driven Interconnect Design Based on Distributed RC Delay Model , 1993, 30th ACM/IEEE Design Automation Conference.
[78] Charles J. Alpert,et al. Wire segmenting for improved buffer insertion , 1997, DAC.
[79] Evangeline F. Y. Young,et al. Retiming with Interconnect and Gate Delay , 2003, ICCAD 2003.
[80] Larry Pileggi,et al. Coping with RC(L) interconnect design headaches , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[81] Joseph R. Shinnerl,et al. An Enhanced Multilevel Algorithm for Circuit Placement , 2003, ICCAD 2003.
[82] J.D. Meindl,et al. Optimal interconnection circuits for VLSI , 1985, IEEE Transactions on Electron Devices.
[83] Lawrence T. Pileggi,et al. An explicit RC-circuit delay approximation based on the first three moments of the impulse response , 1996, 33rd Design Automation Conference Proceedings, 1996.
[84] William L. Briggs,et al. A multigrid tutorial, Second Edition , 2000 .
[85] Charles E. Leiserson,et al. Retiming synchronous circuitry , 1988, Algorithmica.
[86] Majid Sarrafzadeh,et al. Routability driven white space allocation for fixed-die standard-cell placement , 2002, ISPD '02.
[87] Charlie Chung-Ping Chen,et al. Power grid transient simulation in linear time based on transmission-line-modeling alternating-direction-implicit method , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[88] Yao-Wen Chang,et al. Multilevel floorplanning/placement for large-scale modules using B*-trees , 2003, DAC '03.
[89] R. M. Mattheyses,et al. A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.
[90] Joel R. Phillips. Automated extraction of nonlinear circuit macromodels , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).
[91] A. Brandt. Multi-level adaptive technique (MLAT) for fast numerical solution to boundary value problems , 1973 .
[92] Dian Zhou,et al. Minimization of chip size and power consumption of high-speed VLSI buffers , 1997, ISPD '97.
[93] Rajendran Panda,et al. Hierarchical analysis of power distribution networks , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[94] John Philip Fishburn,et al. Shaping a distributed-rc line to minimize elmore delay , 1995 .
[95] Baris Taskin,et al. Timing Optimization Through Clock Skew Scheduling , 2000 .
[96] Jens Vygen,et al. Clock Scheduling and Clocktree Construction for High Performance ASICS , 2003, ICCAD 2003.
[97] K. Glover. All optimal Hankel-norm approximations of linear multivariable systems and their L, ∞ -error bounds† , 1984 .
[98] Charlie Chung-Ping Chen,et al. The Power Grid Transient Simulation in Linear Time Based on 3D Alternating-Direction-Implicit Method , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[99] Jaijeet Roychowdhury,et al. Reduced-order modeling of time-varying systems , 1999 .
[100] Mark A. Franklin,et al. Optimum buffer circuits for driving long uniform lines , 1991 .
[101] Yao-Wen Chang,et al. Timing modeling and optimization under the transmission line model , 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[102] Pinaki Mazumder,et al. VLSI cell placement techniques , 1991, CSUR.
[103] Roland W. Freund,et al. Efficient linear circuit analysis by Pade´ approximation via the Lanczos process , 1994, EURO-DAC '94.
[104] Andrew B. Kahng,et al. Hierarchical whitespace allocation in top-down placement , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[105] Ying Liu,et al. Model order-reduction of RC(L) interconnect including variational analysis , 1999, DAC '99.
[106] Weiping Shi,et al. An O(nlogn) time algorithm for optimal buffer insertion , 2003, DAC '03.
[107] Jason Cong,et al. Buffer block planning for interconnect-driven floorplanning , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
[108] Eby G. Friedman,et al. Repeater design to reduce delay and power in resistive interconnect , 1998 .
[109] Charlie Chung-Ping Chen,et al. Efficient large-scale power grid analysis based on preconditioned Krylov-subspace iterative methods , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[110] Wayne Burleson,et al. A practical approach to DSM repeater insertion: satisfying delay constraints while minimizing area and power , 2001, Proceedings 14th Annual IEEE International ASIC/SOC Conference (IEEE Cat. No.01TH8558).
[111] Paul Van Dooren,et al. Model reduction of state space systems via an implicitly restarted Lanczos method , 1996, Numerical Algorithms.
[112] D. Brandt,et al. Multi-level adaptive solutions to boundary-value problems math comptr , 1977 .
[113] Narendra V. Shenoy,et al. Verifying clock schedules , 1992, ICCAD 1992.
[114] John P. Fishburn,et al. Clock Skew Optimization , 1990, IEEE Trans. Computers.
[115] Jason Cong,et al. Optimal wiresizing for interconnects with multiple sources , 1995, TODE.
[116] Jing Lee,et al. Thermal placement algorithm based on heat conduction analogy , 2003 .
[117] Eby G. Friedman,et al. Clock distribution networks in synchronous digital integrated circuits , 2001, Proc. IEEE.
[118] Sung-Mo Kang,et al. Interconnection delay in very high-speed VLSI , 1991 .
[119] Michel S. Nakhla,et al. Analysis of interconnect networks using complex frequency hopping (CFH) , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[120] Andrew B. Kahng,et al. An algebraic multigrid solver for analytical placement with layout based clustering , 2003, DAC '03.
[121] J. Cong,et al. Optimal wiresizing under the distributed Elmore delay model , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).
[122] Kaustav Banerjee,et al. A power-optimal repeater insertion methodology for global interconnects in nanometer designs , 2002 .
[123] Takayasu Sakurai,et al. Power distribution analysis of VLSI interconnects using model orderreduction , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[124] Wei Cai,et al. An efficient DC-gain matched balanced truncation realization for VLSI Interconnect circuit order reduction , 2002 .
[125] Brian W. Kernighan,et al. A Procedure for Placement of Standard-Cell VLSI Circuits , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[126] W. Cai,et al. An adaptive wavelet method for nonlinear circuit simulation , 1999 .
[127] Yehea I. Ismail. Improved model-order reduction by using spacial information in moments , 2003, IEEE Trans. Very Large Scale Integr. Syst..
[128] Martin D. F. Wong,et al. Greedy wire-sizing is linear time , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[129] Shashi Shekhar,et al. Multilevel hypergraph partitioning: application in VLSI domain , 1997, DAC.
[130] Evangeline F. Y. Young,et al. Integrated floorplanning and interconnect planning , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
[131] D. Zhou,et al. A simplified synthesis of transmission lines with a tree structure , 1994 .
[132] Yehea I. Ismail,et al. Equivalent Elmore delay for RLC trees , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[133] Ross Baldick,et al. A sequential quadratic programming approach to concurrent gate and wire sizing , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[134] Xuan Zeng,et al. Power-Optimal Simultaneous Buffer Insertion/Sizing and Wire Sizing , 2003, ICCAD 2003.
[135] Lawrence T. Pileggi,et al. Parasitics extraction with multipole refinement , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[136] Charlie Chung-Ping Chen,et al. Optimal wire-sizing function with fringing capacitance consideration , 1997, DAC.
[137] Andrew B. Kahng,et al. Improved algorithms for hypergraph bipartitioning , 2000, ASP-DAC '00.
[138] Martin D. F. Wong,et al. A quadratic programming approach to simultaneous buffer insertion/sizing and wire sizing , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[139] H. B. Bakoglu,et al. Circuits, interconnections, and packaging for VLSI , 1990 .
[140] Hai Zhou,et al. Simultaneous routing and buffer insertion with restrictions onbuffer locations , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[141] Luís Miguel Silveira,et al. Guaranteed passive balancing transformations for model order reduction , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[142] Charlie Chung-Ping Chen,et al. Optimal wire-sizing formula under the Elmore delay model , 1996, DAC '96.
[143] Farid N. Najm,et al. A static pattern-independent technique for power grid voltage integrity verification , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[144] Jacob K. White,et al. A trajectory piecewise-linear approach to model order reduction and fast simulation of nonlinear circuits and micromachined devices , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[145] Chi-Yuan Lo,et al. Parasitic extraction: current state of the art and future trends , 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).
[146] Sachin S. Sapatnekar,et al. A practical methodology for early buffer and wire resource allocation , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[147] Chung-Kuan Cheng,et al. Optimal wire sizing and buffer insertion for low power and a generalized delay model , 1996 .
[148] James D. Meindl,et al. Compact distributed RLC interconnect models. I. Single line transient, time delay, and overshoot expressions , 2000 .
[149] Eby G. Friedman,et al. A quadratic programming approach to clock skew scheduling for reduced sensitivity to process parameter variations , 1999, Twelfth Annual IEEE International ASIC/SOC Conference (Cat. No.99TH8454).
[150] Andrew B. Kahng,et al. Multilevel circuit partitioning , 1997, DAC.
[151] Ernest S. Kuh,et al. On projection-based algorithms for model-order reduction of interconnects , 2002 .
[152] Andreas Kuehlmann,et al. Multi-Domain Clock Skew Scheduling , 2003, ICCAD 2003.
[153] P. Madden,et al. Improved cut sequences for partitioning based placement , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).
[154] Srinivas Katkoori,et al. Net-based force-directed macrocell placement for wirelength optimization , 2002, IEEE Trans. Very Large Scale Integr. Syst..
[155] Jason Cong,et al. Multilevel global placement with congestion control , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[156] Ning Dong,et al. Piecewise polynomial nonlinear model reduction , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[157] Jens Vygen,et al. Cycle time and slack optimization for VLSI-chips , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).
[158] Brian W. Kernighan,et al. An efficient heuristic procedure for partitioning graphs , 1970, Bell Syst. Tech. J..
[159] Wei Cai,et al. An efficient balanced truncation realization algorithm for interconnect model order reduction , 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).
[160] William L. Briggs,et al. A multigrid tutorial , 1987 .
[161] Georg Sigl,et al. GORDIAN: VLSI placement by quadratic programming and slicing optimization , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[162] Sani R. Nassif,et al. Multigrid-like technique for power grid analysis , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).
[163] Frank M. Johannes,et al. Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[164] Evangeline F. Y. Young,et al. Routability-driven floorplanner with buffer block planning , 2003, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[165] Mattan Kamon,et al. Efficient Reduced-Order Modeling of Frequency-Dependent Coupling Inductances associated with 3-D Interconnect Structures , 1995, 32nd Design Automation Conference.
[166] Thomas G. Szymanski,et al. Computing optimal clock schedules , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.
[167] Alberto Sangiovanni-Vincentelli,et al. TimberWolf3.2: A New Standard Cell Placement and Global Routing Package , 1986, DAC 1986.
[168] A. Sangiovanni-Vincentelli,et al. The TimberWolf placement and routing package , 1985, IEEE Journal of Solid-State Circuits.