Silicon Nanophotonics for Future Multicore Architectures: Opportunities and Challenges

This article surveys emerging silicon nanophotonic architectures, protocols, and components, for intra-chip and inter-chip communication. It also examines the challenges in silicon nanophotonics research, presents some of the more prominent emerging solutions, and provides a critical view of their advantages and limitations.

[1]  Ashok V. Krishnamoorthy,et al.  A micro-architectural analysis of switched photonic multi-chip interconnects , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[2]  Tao Li,et al.  Exploring high-performance and energy proportional interface for phase change memory systems , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[3]  Xi Chen,et al.  Reliability Modeling and Management of Nanophotonic On-Chip Networks , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[4]  Michal Lipson,et al.  First experimental bit-error-rate validation of 12.5-Gb/s silicon modulator enabling photonic networks-on-chip , 2010, 2010 Conference on Optical Fiber Communication (OFC/NFOEC), collocated National Fiber Optic Engineers Conference.

[5]  N. Binkert,et al.  Atomic Coherence: Leveraging nanophotonics to build race-free cache coherence protocols , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[6]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[7]  Jung Ho Ahn,et al.  Devices and architectures for photonic chip-scale integration , 2009 .

[8]  Li Shang,et al.  Spectrum: A hybrid nanophotonic—electric on-chip network , 2009, 2009 46th ACM/IEEE Design Automation Conference.

[9]  Venkatesh Akella,et al.  Resilient microring resonator based photonic networks , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[10]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[11]  최욱 [Architect] 하우스 엔 , 2003 .

[12]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[13]  Sudeep Pasricha,et al.  A Particle Swarm Optimization approach for synthesizing application-specific hybrid photonic networks-on-chip , 2012, Thirteenth International Symposium on Quality Electronic Design (ISQED).

[14]  Rami G. Melhem,et al.  Tolerating process variations in nanophotonic on-chip networks , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[15]  Yuan Xie,et al.  F2BFLY: an on-chip free-space optical network with wavelength-switching , 2011, ICS '11.

[16]  Sudeep Pasricha,et al.  OPAL: A multi-layer hybrid photonic NoC for 3D ICs , 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

[17]  Atsuya Okazaki,et al.  Optical Interconnect Opportunities for Future Server Memory Systems , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

[18]  Qianfan Xu,et al.  12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.

[19]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[20]  Norman P. Jouppi,et al.  Combining memory and a controller with photonics through 3D-stacking to enable scalable and energy-efficient systems , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[21]  Tao Li,et al.  Integrating nanophotonics in GPU microarchitecture , 2012, 2012 21st International Conference on Parallel Architectures and Compilation Techniques (PACT).

[22]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[23]  Peng Liu,et al.  An intra-chip free-space optical interconnect , 2010, ISCA.

[24]  Debasish Datta,et al.  Design Methodology for Optical Interconnect Topologies in NoCs With BER and Transmit Power Constraints , 2014, Journal of Lightwave Technology.

[25]  Christopher Batten,et al.  Re-architecting DRAM memory systems with monolithically integrated silicon photonics , 2010, ISCA.

[26]  Jie Sun,et al.  Nanophotonic integration in state-of-the-art CMOS foundries. , 2011, Optics express.

[27]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[28]  Luca P. Carloni,et al.  VANDAL: A tool for the design specification of nanophotonic networks , 2011, 2011 Design, Automation & Test in Europe.

[29]  Venkatesh Akella,et al.  Addressing system-level trimming issues in on-chip nanophotonic networks , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[30]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).