Corner-Aware Dynamic Gate Voltage Scheme to Achieve High Read Yield in STT-RAM

As the technology node scales down, the spin-transfer-torque random access memory (STT-RAM) has been considered as a promising memory solution owing to its scalability. However, the increased process variation and the reduced supply voltage lead to degradation in the sensing yield (SY) as well as an increase in the read disturbance probability. Temperature variation further aggravates this phenomenon. Thus, achieving a target SY with a lower sensing current in all process, voltage, and temperature (PVT) corners has become an important issue in a deep-submicrometer technology node. In this paper, we propose a corner-aware dynamic gate voltage scheme to achieve constant-current sensing, regardless of the PVT variations. By adopting this scheme, the state-of-the-art sensing circuits (SCs) can significantly reduce the sensing current, while achieving the target read yield. The Monte Carlo HSPICE simulation results using industry-compatible 45-nm model parameters show that the offset-canceling dual-stage SC that uses the proposed scheme satisfies a target SY of six-sigma (96.34% for 32 Mb) with two times lower sensing current and two times lower read energy compared with that using a fixed gate voltage.

[1]  Saied N. Tehrani,et al.  A 1-Mbit MRAM based on 1T1MTJ bit cell integrated with copper interconnects , 2003, IEEE J. Solid State Circuits.

[2]  John K. DeBrosse,et al.  Design considerations for MRAM , 2006, IBM J. Res. Dev..

[3]  Yiran Chen,et al.  A 130 nm 1.2 V/3.3 V 16 Kb Spin-Transfer Torque Random Access Memory With Nondestructive Self-Reference Sensing Scheme , 2012, IEEE Journal of Solid-State Circuits.

[4]  M. Hosomi,et al.  A novel nonvolatile memory with spin torque transfer magnetization switching: spin-ram , 2005, IEEE InternationalElectron Devices Meeting, 2005. IEDM Technical Digest..

[5]  Hanwool Jeong,et al.  Comparative Study of Various Latch-Type Sense Amplifiers , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[6]  Meng-Fan Chang,et al.  An Offset-Tolerant Fast-Random-Read Current-Sampling-Based Sense Amplifier for Small-Cell-Current Nonvolatile Memory , 2013, IEEE Journal of Solid-State Circuits.

[7]  H. Ohno,et al.  A perpendicular-anisotropy CoFeB-MgO magnetic tunnel junction. , 2010, Nature materials.

[8]  Weisheng Zhao,et al.  High Speed, High Stability and Low Power Sensing Amplifier for MTJ/CMOS Hybrid Logic Circuits , 2009, IEEE Transactions on Magnetics.

[9]  Seong-Ook Jung,et al.  An Offset-Canceling Triple-Stage Sensing Circuit for Deep Submicrometer STT-RAM , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Seong-Ook Jung,et al.  A Novel Sensing Circuit for Deep Submicron Spin Transfer Torque MRAM (STT-MRAM) , 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[11]  Seong-Ook Jung,et al.  Numerical Estimation of Yield in Sub-100-nm SRAM Design Using Monte Carlo Simulation , 2008, IEEE Transactions on Circuits and Systems II: Express Briefs.

[12]  Sudhakar Yalamanchili,et al.  A Scalable Design Methodology for Energy Minimization of STTRAM: A Circuit and Architecture Perspective , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[13]  Yiran Chen,et al.  Design Margin Exploration of Spin-Transfer Torque RAM (STT-RAM) in Scaled Technologies , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[14]  Youguang Zhang,et al.  High reliability sensing circuit for deep submicron spin transfer torque magnetic random access memory , 2013 .

[15]  Shoji Ikeda,et al.  A 32-Mb SPRAM With 2T1R Memory Cell, Localized Bi-Directional Write Driver and `1'/`0' Dual-Array Equalized Reference Scheme , 2010, IEEE Journal of Solid-State Circuits.

[16]  Seong-Ook Jung,et al.  Design Methodologies for STT-MRAM (Spin-Torque Transfer Magnetic Random Access Memory) Sensing Circuits , 2010, IEICE Trans. Electron..

[17]  Seong-Ook Jung,et al.  A Double-Sensing-Margin Offset-Canceling Dual-Stage Sensing Circuit for Resistive Nonvolatile Memory , 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

[18]  Seung H. Kang,et al.  A 45nm 1Mb embedded STT-MRAM with design techniques to minimize read-disturbance , 2011, 2011 Symposium on VLSI Circuits - Digest of Technical Papers.

[19]  Zheng Li,et al.  Variation-Tolerant and Disturbance-Free Sensing Circuit for Deep Nanometer STT-MRAM , 2014, IEEE Transactions on Nanotechnology.

[20]  Meng-Fan Chang,et al.  A High-Speed 7.2-ns Read-Write Random Access 4-Mb Embedded Resistive RAM (ReRAM) Macro Using Process-Variation-Tolerant Current-Mode Read Schemes , 2013, IEEE Journal of Solid-State Circuits.

[21]  Chang‐Hwan Choi,et al.  Fabrication of a dense array of tall nanostructures over a large sample area with sidewall profile and tip sharpness control , 2006 .

[22]  P. Stolk,et al.  Modeling statistical dopant fluctuations in MOS transistors , 1998 .

[23]  K. Ono,et al.  A disturbance-free read scheme and a compact stochastic-spin-dynamics-based MTJ circuit model for Gb-scale SPRAM , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[24]  Hui Zhao,et al.  A Scaling Roadmap and Performance Evaluation of In-Plane and Perpendicular MTJ Based STT-MRAMs for High-Density Cache Memory , 2013, IEEE Journal of Solid-State Circuits.

[25]  S. Watts,et al.  Latest Advances and Roadmap for In-Plane and Perpendicular STT-RAM , 2011, 2011 3rd IEEE International Memory Workshop (IMW).

[26]  H. Hoenigschmid,et al.  A high-speed 128-kb MRAM core for future universal memory applications , 2004, IEEE Journal of Solid-State Circuits.

[27]  S. Ikeda,et al.  2 Mb SPRAM (SPin-Transfer Torque RAM) With Bit-by-Bit Bi-Directional Current Write and Parallelizing-Direction Current Read , 2008, IEEE Journal of Solid-State Circuits.

[28]  Yoshihiro Ueda,et al.  A 64Mb MRAM with clamped-reference and adequate-reference schemes , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).

[29]  Doris Schmitt-Landsiedel,et al.  Time-differential sense amplifier for sub-80mV bitline voltage embedded STT-MRAM in 40nm CMOS , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[30]  H. Ohno,et al.  Highly-scalable disruptive reading scheme for Gb-scale SPRAM and beyond , 2010, 2010 IEEE International Memory Workshop.

[31]  Luan Tran,et al.  45nm low power CMOS logic compatible embedded STT MRAM utilizing a reverse-connection 1T/1MTJ cell , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).