Experimental Analysis of Thermal Coupling in 3-D Integrated Circuits

A 3-D test circuit examining thermal propagation within a through-silicon via-based 3-D integrated stack has been designed, fabricated, and tested. Design insight into thermal coupling in 3-D integrated circuits (ICs) through both experiment and simulation is provided, and suggestions to mitigate thermal effects in 3-D ICs are offered. Two wafers are vertically bonded to form a 3-D stack. Intraplane and interplane thermal coupling is investigated through single-point heat generation using resistive thermal heaters and temperature monitoring through four-point resistive measurements. Thermal paths are identified and analyzed based on the metric of thermal resistance per unit length. The peak steady-state temperature due to die location within a 3-D stack is described. The reduction in peak temperature through fan-based active cooling is also reported. Thermal propagation from a heat source located on the backside of the silicon is examined with both back metal and on-chip thermal sensors. A comparison of thermal coupling between two different heat sources on the same device plane is also provided.

[1]  E. Beyne,et al.  Numerical and experimental characterization of the thermal behavior of a packaged DRAM-on-logic stack , 2012, 2012 IEEE 62nd Electronic Components and Technology Conference.

[2]  Ricardo Reis,et al.  System-level thermal modeling for 3D circuits: Characterization with a 65nm memory-on-logic circuit , 2013, 2013 IEEE International 3D Systems Integration Conference (3DIC).

[3]  Jason Cong,et al.  A thermal-driven floorplanning algorithm for 3D ICs , 2004, IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004..

[4]  B. Dang,et al.  3D silicon integration , 2008, 2008 58th Electronic Components and Technology Conference.

[5]  H. A. Schafft,et al.  Thermal conductivity measurements of thin-film silicon dioxide , 1989, Proceedings of the 1989 International Conference on Microelectronic Test Structures.

[6]  Alberto L. Sangiovanni-Vincentelli,et al.  On thermal effects in deep sub-micron VLSI interconnects , 1999, DAC '99.

[7]  Sung Kyu Lim,et al.  Wire congestion and thermal aware 3D global placement , 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

[8]  Gabriel H. Loh,et al.  Thermal Herding: Microarchitecture Techniques for Controlling Hotspots in High-Performance 3D-Integrated Processors , 2007, 2007 IEEE 13th International Symposium on High Performance Computer Architecture.

[9]  Eby G. Friedman,et al.  Digitally Controlled Pulse Width Modulator for On-Chip Power Management , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[10]  Jason Cong,et al.  Thermal-Aware 3D IC Placement Via Transformation , 2007, 2007 Asia and South Pacific Design Automation Conference.

[11]  M. Asheghi,et al.  Thermal conduction in doped single-crystal silicon films , 2002 .

[12]  Ming-Che Hsieh,et al.  Thermo-mechanical simulations for 4-layer stacked IC packages , 2008, EuroSimE 2008 - International Conference on Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Micro-Systems.

[13]  J. W. Kolar,et al.  A 4.6W/mm2 power density 86% efficiency on-chip switched capacitor DC-DC converter in 32 nm SOI CMOS , 2013, 2013 Twenty-Eighth Annual IEEE Applied Power Electronics Conference and Exposition (APEC).

[14]  Jie Meng,et al.  Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints , 2012, DAC Design Automation Conference 2012.

[15]  Kevin Skadron,et al.  Temperature-aware microarchitecture , 2003, ISCA '03.

[16]  A. Jain,et al.  Analytical and Numerical Modeling of the Thermal Performance of Three-Dimensional Integrated Circuits , 2010, IEEE Transactions on Components and Packaging Technologies.

[17]  David Atienza,et al.  Thermal analysis and active cooling management for 3D MPSoCs , 2011, ISCAS.

[18]  Peng Liu,et al.  An intra-chip free-space optical interconnect , 2010, ISCA.

[19]  Yuan Xie,et al.  Through Silicon Via Aware Design Planning for Thermally Efficient 3-D Integrated Circuits , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[20]  J. Meindl,et al.  Integrated Microfluidic Cooling and Interconnects for 2D and 3D Chips , 2010, IEEE Transactions on Advanced Packaging.

[21]  Kaustav Banerjee,et al.  Full chip thermal analysis of planar (2-D) and vertically integrated (3-D) high performance ICs , 2000, International Electron Devices Meeting 2000. Technical Digest. IEDM (Cat. No.00CH37138).

[22]  Chia-Lin Yang,et al.  Thermal Modeling and Analysis for 3-D ICs With Integrated Microchannel Cooling , 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[23]  Wei Huang,et al.  HotSpot—A Chip and Package Compact Thermal Modeling Methodology for VLSI Design , 2007 .

[24]  Mohamed M. Sabryz,et al.  Thermal analysis and active cooling management for 3D MPSoCs , 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

[25]  Sungjun Im,et al.  Integrated Microchannel Cooling for Three-Dimensional Electronic Circuit Architectures , 2005 .

[26]  Kevin Skadron,et al.  HotSpot: a compact thermal modeling methodology for early-stage VLSI design , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[27]  Yusuf Leblebici,et al.  Dynamic thermal management in 3D multicore architectures , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[28]  Jian Zhang,et al.  A 3-D Integrated Intrachip Free-Space Optical Interconnect for Many-Core Chips , 2011, IEEE Photonics Technology Letters.

[29]  Eby G. Friedman,et al.  Thermal analysis of oxide-confined VCSEL arrays , 2011, Microelectronics Journal.

[30]  David Atienza,et al.  3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling , 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

[31]  Yu Hen Hu,et al.  Efficient Thermal Simulation for 3-D IC With Thermal Through-Silicon Vias , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[32]  Jianyun Hu,et al.  3-D integrated heterogeneous intra-chip free-space optical interconnect. , 2012, Optics express.

[33]  S. Kolluri,et al.  Thermal modeling of on-chip interconnects and 3D packaging using EM tools , 2008, 2008 IEEE-EPEP Electrical Performance of Electronic Packaging.

[34]  Gabriel H. Loh,et al.  Scalability of 3D-Integrated Arithmetic Units in High-Performance Microprocessors , 2007, 2007 44th ACM/IEEE Design Automation Conference.

[35]  Zhihong Huang,et al.  Thermal modeling and design of 3D integrated circuits , 2008, 2008 11th Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems.

[36]  R. Beica,et al.  Through silicon via copper electrodeposition for 3D integration , 2008, Electronic Components and Technology Conference.

[37]  Sung Kyu Lim,et al.  Thermal Characterization of Interlayer Microfluidic Cooling of Three-Dimensional Integrated Circuits With Nonuniform Heat Flux , 2010 .

[38]  J.D. Meindl,et al.  3D stacking of chips with electrical and microfluidic I/O interconnects , 2008, 2008 58th Electronic Components and Technology Conference.

[39]  Kevin Skadron,et al.  Compact thermal modeling for temperature-aware design , 2004, Proceedings. 41st Design Automation Conference, 2004..

[40]  Muhannad S. Bakir,et al.  3D heterogeneous integrated systems: Liquid cooling, power delivery, and implementation , 2008, 2008 IEEE Custom Integrated Circuits Conference.

[41]  Fabrice Paillet,et al.  FIVR — Fully integrated voltage regulators on 4th generation Intel® Core™ SoCs , 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.

[42]  G. A. Slack,et al.  Thermal Conductivity of Silicon and Germanium from 3°K to the Melting Point , 1964 .

[43]  G. A. Slack,et al.  Thermal Conductivity of Pure and Impure Silicon, Silicon Carbide, and Diamond , 1964 .