Dark Silicon Considered Harmful: A Case for Truly Green Computing
暂无分享,去创建一个
[1] Rachel Courtland. The molten tin solution , 2016, IEEE Spectrum.
[2] David A. Patterson,et al. Computer Architecture: A Quantitative Approach , 1969 .
[3] Yiran Chen,et al. Modeling STT-RAM fabrication cost and impacts in NVSim , 2016, 2016 Seventh International Green and Sustainable Computing Conference (IGSC).
[4] B. W. Vigon,et al. Life-cycle assessment : inventory guidelines and principles , 1994 .
[5] James A. Fava,et al. A Technical Framework for Life-Cycle Assessment , 1994 .
[6] Karin Strauss,et al. Use ECP, not ECC, for hard failures in resistive memories , 2010, ISCA.
[7] Jean-Philippe Laurent,et al. Development of parametric material, energy, and emission inventories for wafer fabrication in the semiconductor industry. , 2003, Environmental science & technology.
[8] Nam Sung Kim,et al. GPUWattch: enabling energy optimizations in GPGPUs , 2013, ISCA.
[9] Michael J. Schulte,et al. A New Era of Performance Evaluation , 2007, Computer.
[10] Rami G. Melhem,et al. Yoda: Judge Me by My Size, Do You? , 2017, 2017 IEEE International Conference on Computer Design (ICCD).
[11] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[12] Yiran Chen,et al. Considering fabrication in sustainable computing , 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[13] Haifeng Xu,et al. Green computing: A life cycle perspective , 2013, 2013 International Green Computing Conference Proceedings.
[14] Richard Veras,et al. RAIDR: Retention-aware intelligent DRAM refresh , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[15] Alex K. Jones,et al. Workshops on Extreme Scale Design Automation (ESDA) Challenges and Opportunities for 2025 and Beyond , 2020, ArXiv.
[16] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[17] H. S. Matthews,et al. Extending the Boundaries of Life‐Cycle Assessment through Environmental Economic Input‐Output Models , 2000 .
[18] Jung Ho Ahn,et al. McPAT: An integrated power, area, and timing modeling framework for multicore and manycore architectures , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[19] Rami G. Melhem,et al. Sustainable fault management and error correction for next-generation main memories , 2017, 2017 Eighth International Green and Sustainable Computing Conference (IGSC).
[20] Hans-Jürgen Dr. Klüppel,et al. The Revision of ISO Standards 14040-3 - ISO 14040: Environmental management Life cycle assessment Principles and framework - ISO 14044: Environmental management Life cycle assessment Requirements and guidelines , 2005 .
[21] Michael J Cullen,et al. Comparative assessment of life cycle assessment methods used for personal computers. , 2010, Environmental science & technology.
[22] E. Antonsson,et al. USING INDIFFERENCE POINTS IN ENGINEERING DECISIONS , 2000 .
[23] Onur Mutlu,et al. An experimental study of data retention behavior in modern DRAM devices: implications for retention time profiling mechanisms , 2013, ISCA.
[24] Dae-Hyun Kim,et al. ArchShield: architectural framework for assisting DRAM scaling by tolerating high error rates , 2013, ISCA.
[25] Rami G. Melhem,et al. Dynamic partitioning to mitigate stuck-at faults in emerging memories , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[26] Reactor Decommissioning. Environmental Report , 1999 .
[27] Richard W. Hamming,et al. Error detecting and error correcting codes , 1950 .
[28] Rami G. Melhem,et al. Holistically evaluating the environmental impacts in modern computing systems , 2016, 2016 Seventh International Green and Sustainable Computing Conference (IGSC).
[29] D. Dornfeld,et al. Life-cycle energy demand and global warming potential of computational logic. , 2009, Environmental science & technology.
[30] Jiwu Shu,et al. Aegis: Partitioning data block for efficient recovery of stuck-at-faults in phase change memory , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[31] Kenneth A. LaBel,et al. Radiation Testing and Evaluation Issues for Modern Integrated Circuits , 2005 .
[32] Alex K. Jones,et al. Sustainable IC design and fabrication , 2017, 2017 Eighth International Green and Sustainable Computing Conference (IGSC).
[33] Sarah Boyd,et al. Life-Cycle Assessment of Semiconductors , 2011 .
[34] Jacquetta Lee,et al. Redefining scope: the true environmental impact of smartphones? , 2015, The International Journal of Life Cycle Assessment.
[35] Chris Fallin,et al. Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[36] Norman P. Jouppi,et al. WRL Research Report 93/5: An Enhanced Access and Cycle Time Model for On-chip Caches , 1994 .