Nano-Photonic Networks-on-Chip for Future Chip Multiprocessors
暂无分享,去创建一个
[1] Marco Fiorentino,et al. A ring-resonator-based silicon photonics transceiver with bias-based wavelength stabilization and adaptive-power-sensitivity receiver , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.
[2] Yu Zhang,et al. Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.
[3] D. Ahn,et al. Electronic-photonic integrated circuits on the CMOS platform , 2006, SPIE OPTO.
[4] Paul Rosenberg,et al. Photonic interconnects for computer applications , 2009, 2009 Asia Communications and Photonics conference and Exhibition (ACP).
[5] Sudeep Pasricha,et al. UC-PHOTON: A novel hybrid photonic network-on-chip for multiple use-case applications , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).
[6] John Kim,et al. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.
[7] Luca P. Carloni,et al. On the Design of a Photonic Network-on-Chip , 2007, First International Symposium on Networks-on-Chip (NOCS'07).
[8] Alyssa B. Apsel,et al. Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[9] Jie Sun,et al. Open Foundry Platform for High-performance Electronic-photonic Integration References and Links , 2022 .
[10] Mikko H. Lipasti,et al. Light speed arbitration and flow control for nanophotonic interconnects , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[11] Peng Liu,et al. An intra-chip free-space optical interconnect , 2010, ISCA.
[12] Daniel A. Jiménez,et al. Reducing network-on-chip energy consumption through spatial locality speculation , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[13] M. Lipson,et al. Compact Electro-Optic Modulators on a Silicon Chip , 2006, IEEE Journal of Selected Topics in Quantum Electronics.
[14] Ashok V. Krishnamoorthy,et al. 10-Gbps, 5.3-mW Optical Transmitter and Receiver Circuits in 40-nm CMOS , 2012, IEEE Journal of Solid-State Circuits.
[15] Jung Ho Ahn,et al. Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.
[16] E. Timurdogan,et al. Integrated microring tuning in deep-trench bulk CMOS , 2013, 2013 Optical Interconnects Conference.
[17] Marco Fiorentino,et al. A WDM silicon photonic transmitter based on carrier-injection microring modulators , 2014, 2014 Optical Interconnects Conference.
[18] Keren Bergman,et al. Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors , 2011, JETC.
[19] Saurabh Dighe,et al. A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling , 2011, IEEE Journal of Solid-State Circuits.
[20] W. M. J. Green,et al. Four- and eight-port photonic switches monolithically integrated with digital CMOS logic and driver circuits , 2013, 2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC).
[21] Leonid Oliker,et al. Analysis of photonic networks for a chip multiprocessor using scientific applications , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[22] Chita R. Das,et al. A low latency router supporting adaptivity for on-chip interconnects , 2005, Proceedings. 42nd Design Automation Conference, 2005..
[23] Bernard Muschielok,et al. The 4MOST instrument concept overview , 2014, Astronomical Telescopes and Instrumentation.
[24] Juthika Basak,et al. High-Speed Silicon Modulator for Future VLSI Interconnect , 2007 .
[25] M.R. Reshotko,et al. Waveguide coupled Ge-on-oxide photodetectors for integrated optical links , 2008, 2008 5th IEEE International Conference on Group IV Photonics.
[26] Ashok V. Krishnamoorthy,et al. Computer Systems Based on Silicon Photonic Interconnects A proposed supercomputer-on-a-chip with optical interconnections between processing elements will require development of new lower-energy optical components and new circuit architectures that match electrical datapaths to complementary optical , 2009 .
[27] 张哉根,et al. Leu-M , 1991 .
[28] Li Zhou,et al. PROBE: Prediction-based optical bandwidth scaling for energy-efficient NoCs , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).
[29] Cheng Li,et al. LumiNOC: A Power-Efficient, High-Performance, Photonic Network-on-Chip , 2012, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[30] Sanu Mathew,et al. A 4.1Tb/s bisection-bandwidth 560Gb/s/W streaming circuit-switched 8×8 mesh network-on-chip in 45nm CMOS , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[31] Luca P. Carloni,et al. Photonic NoC for DMA Communications in Chip Multiprocessors , 2007, 15th Annual IEEE Symposium on High-Performance Interconnects (HOTI 2007).
[32] Luca P. Carloni,et al. Time-division-multiplexed arbitration in silicon nanophotonic networks-on-chip for high-performance chip multiprocessors , 2011, J. Parallel Distributed Comput..
[33] J.E. Roth,et al. An Optical Interconnect Transceiver at 1550 nm Using Low-Voltage Electroabsorption Modulators Directly Integrated to CMOS , 2007, Journal of Lightwave Technology.
[34] Avinash Karanth Kodi,et al. Design of a scalable nanophotonic interconnect for future multicores , 2009, ANCS '09.
[35] Avinash Karanth Kodi,et al. Power-Efficient and High-Performance Multi-level Hybrid Nanophotonic Interconnect for Multicores , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.
[36] Chao Chen,et al. Runtime Management of Laser Power in Silicon-Photonic Multibus NoC Architecture , 2013, IEEE Journal of Selected Topics in Quantum Electronics.
[37] Ashok V. Krishnamoorthy,et al. Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.
[38] Qianfan Xu,et al. 12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators. , 2007, Optics express.
[39] Luca P. Carloni,et al. Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.
[40] Samuel Palermo,et al. Optical I/O technology for tera-scale computing , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[41] Nahum Izhaky,et al. High-speed optical modulation based on carrier depletion in a silicon waveguide. , 2007, Optics express.
[42] Paul V. Gratz,et al. Ocin tsim-DVFS Aware Simulator for NoCs , 2009 .
[43] Sergey Mikhrin,et al. A single comb laser source for short reach WDM interconnects , 2009, OPTO.
[44] Stephen W. Keckler,et al. Realistic Workload Characterization and Analysis for Networks-on-Chip Design , 2009 .
[45] J. Cunningham,et al. Thermally tunable silicon racetrack resonators with ultralow tuning power. , 2010, Optics express.
[46] Ashok V. Krishnamoorthy,et al. High-efficiency 25Gb/s CMOS ring modulator with integrated thermal tuning , 2011, 8th IEEE International Conference on Group IV Photonics.
[47] Boris Grot,et al. Ocin_tsim: a DVFS-aware simulator for NoC based platforms. , 2009 .
[48] R. Soref,et al. Electrooptical effects in silicon , 1987 .
[49] Kai Li,et al. The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).
[50] Yi Liang,et al. A Fully Integrated 4×10Gb/s DWDM Optoelectronic Transceiver in a standard 0.13/spl mu/m CMOS SOI , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[51] H. Jonathan Chao,et al. BLOCON: A Bufferless Photonic Clos network-on-chip architecture , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.
[52] Jie Sun,et al. Nanophotonic integration in state-of-the-art CMOS foundries. , 2011, Optics express.
[53] Christopher Batten,et al. Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.
[54] Rajeev J Ram,et al. Localized substrate removal technique enabling strong-confinement microphotonics in bulk Si CMOS processes , 2008, 2008 Conference on Lasers and Electro-Optics and 2008 Conference on Quantum Electronics and Laser Science.