Closing the power gap between ASIC and custom: an ASIC perspective
暂无分享,去创建一个
[1] David G. Chinnery,et al. Closing the Gap Between ASIC and Custom - Tools and Techniques for High-Performance ASIC Design , 2002 .
[2] Massoud Pedram,et al. Power conscious CAD tools and methodologies: a perspective , 1995, Proc. IEEE.
[3] Neil Weste,et al. Principles of CMOS VLSI Design , 1985 .
[4] Owen Bishop. Electronics - Circuits and Systems , 2007 .
[5] Jason Cong,et al. Simultaneous buffer and wire sizing for performance and power optimization , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.
[6] David A. Patterson,et al. Computer Architecture - A Quantitative Approach, 5th Edition , 1996 .
[7] Kevin J. Nowka,et al. Design methodology for a 1.0 GHz microprocessor , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[8] Andrew Liang Ping Chang. VLSI datapath choices : cell-based versus full-custom , 1998 .
[9] Keith A. Bowman,et al. A minimum total power methodology for projecting limits on CMOS GSI , 2000, IEEE Trans. Very Large Scale Integr. Syst..
[10] Earl E. Swartzlander,et al. Optimizing Arithmetic Elements For Signal Processing , 1992, Workshop on VLSI Signal Processing.
[11] A. Chandrakasan,et al. A low-power DCT core using adaptive bitwidth and arithmetic activity exploiting signal correlations and quantization , 1999, IEEE Journal of Solid-State Circuits.
[12] Yuyun Liao,et al. An Embedded 32b Microprocessor Core for Low-Power and High-Performance Applications , 2001 .
[13] Ricardo E. Gonzalez,et al. Xtensa: A Configurable and Extensible Processor , 2000, IEEE Micro.
[14] Martin D. F. Wong,et al. Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[15] S. Narendra,et al. Comparative performance, leakage power and switching power of circuits in 150 nm PD-SOI and bulk technologies including impact of SOI history effect , 2001, 2001 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.01CH37185).
[16] John P. Fishburn,et al. TILOS: A posynomial programming approach to transistor sizing , 2003, ICCAD 2003.
[17] Lawrence T. Clark,et al. An embedded 32-b microprocessor core for low-power and high-performance applications , 2001 .
[18] Luca Fanucci,et al. Data driven VLSI computation for low power DCT-based video coding , 2002, 9th International Conference on Electronics, Circuits and Systems.
[19] D. Blaauw,et al. Stand-by power minimization through simultaneous threshold voltage selection and circuit sizing , 1999, Proceedings 1999 Design Automation Conference (Cat. No. 99CH36361).
[20] Allan Hartstein,et al. Optimum Power/Performance Pipeline Depth , 2003, MICRO.
[21] David A. Patterson,et al. Computer Architecture: A Quantitative Approach , 1969 .
[22] Jack Quinn,et al. Microprocessor, 1996: A Study of the MPU, Mcu and DSP Markets , 1996 .
[23] H. Momose,et al. A 60 mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme , 1998, 1998 IEEE International Solid-State Circuits Conference. Digest of Technical Papers, ISSCC. First Edition (Cat. No.98CH36156).
[24] Kimiyoshi Usami,et al. Low-power design methodology and applications utilizing dual supply voltages , 2000, ASP-DAC.
[25] R.W. Brodersen,et al. A dynamic voltage scaled microprocessor system , 2000, IEEE Journal of Solid-State Circuits.
[26] Dennis Sylvester,et al. Pushing ASIC performance in a power envelope , 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[27] Narendra V. Shenoy,et al. Discrete drive selection for continuous sizing , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.
[28] Steve Furber. ARM System-on-Chip Architecture , 2000 .
[29] Dhiraj K. Pradhan,et al. Gate-level synthesis for low-power using new transformations , 1996, Proceedings of 1996 International Symposium on Low Power Electronics and Design.
[30] J. Nurmi,et al. Comparison of bulk and SOI CMOS technologies in a DSP processor circuit implementation , 2001, ICM 2001 Proceedings. The 13th International Conference on Microelectronics..
[31] R. Allmon,et al. High-performance microprocessor design , 1998, IEEE J. Solid State Circuits.
[32] Anantha P. Chandrakasan,et al. A low-power IDCT macrocell for MPEG-2 MP@ML exploiting data distribution properties for minimal activity , 1999 .
[33] Richard T. Witek,et al. A 160 MHz 32 b 0.5 W CMOS RISC microprocessor , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.
[34] Rajendran Panda,et al. Library-less synthesis for static CMOS combinational logic circuits , 1997, ICCAD 1997.
[35] J. Tran,et al. A 2nd generation 440 ps SOI 64 b adder , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[36] M.A. Horowitz,et al. Skew-tolerant domino circuits , 1997, 1997 IEEE International Solids-State Circuits Conference. Digest of Technical Papers.
[37] Philippe Hurat,et al. Faster and Lower Power Cell-Based Designs with Transistor-Level Cell Sizing , 2004 .
[38] B. Moyer. Low-power design for embedded processors , 2001 .
[39] Joel Grodstein,et al. A delay model for logic synthesis of continuously-sized networks , 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).
[40] Richard E. Kessler,et al. The Alpha 21264 microprocessor architecture , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[41] C. Sechen,et al. Domino logic synthesis using complex static gates , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).
[42] Larry L. Biro,et al. Power considerations in the design of the Alpha 21264 microprocessor , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[43] Kurt Keutzer,et al. Getting to the bottom of deep submicron , 1998, ICCAD '98.
[44] Hendrikus J. M. Veendrick,et al. Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits , 1984 .
[45] Kevin J. Nowka,et al. Circuit design techniques for a gigahertz integer microprocessor , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[46] S. Nassif,et al. Delay variability: sources, impacts and trends , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).