Channel borrowing: an energy-efficient nanophotonic crossbar architecture with light-weight arbitration

The emerging on-chip optical interconnection has become a promising candidate for future network design because of its advantages in high bandwidth density, low propagation delay and dynamic power consumption. However, a key challenge of on-chip optics is the high static power consumption which dominates the total network power. Hence, it is imperative to design an energy-efficient optical network architecture with high throughput while consuming low static power. In conventional optical crossbars, static channel allocation results in low channel utilization and network throughput, while full channel sharing requires a significant number of microrings, which incurs high static power. To obtain high network throughput with low power consumption, this paper proposes a nanophotonic crossbar architecture with light-weight distributed arbitration. Network channels are allocated to an owner node, but can also be used by a few other nodes during idle time. The number of microring resonators is greatly reduced compared to the full channel sharing architecture. The arbitration is also simplified due to the small number of nodes sharing a channel. Every node can use the statically assigned channel to avoid starvation and borrow an additional idle channel to improve the utilization of the network. We intelligently select the network nodes that should share a channel to increase the chance of successful borrowing with low probability of conflict. The energy efficiency of the proposed network architecture is evaluated in terms of energy efficiency (throughput/watt) and Energy-delay2(ED2) using synthetic traces and traffic traces from PARSEC benchmarks. The simulation results show that our design can improve energy efficiency by 34% and 26% and improve ED^2 by 73% and 45% compared to Single-write-multi-read (SWMR) crossbars and Multi-write-multi-read (MWMR) crossbars respectively.

[1]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[2]  José F. Martínez,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS XV.

[3]  Mikko H. Lipasti,et al.  Light speed arbitration and flow control for nanophotonic interconnects , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[4]  Kai Li,et al.  The PARSEC benchmark suite: Characterization and architectural implications , 2008, 2008 International Conference on Parallel Architectures and Compilation Techniques (PACT).

[5]  Christopher Batten,et al.  Designing Chip-Level Nanophotonic Interconnection Networks , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[6]  William J. Dally,et al.  Design tradeoffs for tiled CMP on-chip networks , 2006, ICS '06.

[7]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[8]  William J. Dally,et al.  Flattened Butterfly Topology for On-Chip Networks , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[9]  Ashok V. Krishnamoorthy,et al.  Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.

[10]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[11]  Jung Ho Ahn,et al.  Devices and architectures for photonic chip-scale integration , 2009 .

[12]  Christopher Batten,et al.  Re-architecting DRAM memory systems with monolithically integrated silicon photonics , 2010, ISCA.

[13]  N. Binkert,et al.  Atomic Coherence: Leveraging nanophotonics to build race-free cache coherence protocols , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[14]  Venkatesh Akella,et al.  Addressing system-level trimming issues in on-chip nanophotonic networks , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[15]  Jun Yang,et al.  A composite and scalable cache coherence protocol for large scale CMPs , 2011, ICS '11.

[16]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[17]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[18]  Christopher Batten,et al.  Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[19]  George Kurian,et al.  ATAC: A 1000-core cache-coherent processor with on-chip optical network , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

[20]  Peng Liu,et al.  An intra-chip free-space optical interconnect , 2010, ISCA.

[21]  Donald M. Chiarulli,et al.  Multiprocessor interconnection networks using partitioned optical passive star (POPS) topologies and distributed control , 1994, First International Workshop on Massively Parallel Processing Using Optical Interconnections.

[22]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[23]  Venkatesh Akella,et al.  Resilient microring resonator based photonic networks , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[24]  Rami G. Melhem,et al.  Tolerating process variations in nanophotonic on-chip networks , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[25]  Matt T. Yourst PTLsim: A Cycle Accurate Full System x86-64 Microarchitectural Simulator , 2007, 2007 IEEE International Symposium on Performance Analysis of Systems & Software.

[26]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.