Power-agility metrics: Measuring dynamic characteristics of energy proportionality
暂无分享,去创建一个
[1] Margaret Martonosi,et al. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).
[2] Christoforos E. Kozyrakis,et al. Towards energy-proportional datacenter memory with mobile DRAM , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).
[3] Ryan N. Rakvic,et al. The Fuzzy Correlation between Code and Performance Predictability , 2004, 37th International Symposium on Microarchitecture (MICRO-37'04).
[4] Mark Hempstead,et al. The Case for Power-Agile Computing , 2011, HotOS.
[5] Stephen W. Poole,et al. Measuring Server Energy Proportionality , 2015, ICPE.
[6] Wu-chun Feng,et al. Towards Energy-Proportional Computing Using Subsystem-Level Power Management , 2015, ArXiv.
[7] Vijay Janapa Reddi,et al. WebCore: Architectural support for mobile Web browsing , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[8] Thomas F. Wenisch,et al. CoScale: Coordinating CPU and Memory System DVFS in Server Systems , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.
[9] Gu-Yeon Wei,et al. Thread motion: fine-grained power management for multi-core systems , 2009, ISCA '09.
[10] Stephen W. Poole,et al. Revisiting Server Energy Proportionality , 2013, 2013 42nd International Conference on Parallel Processing.
[11] Sandeep K. S. Gupta,et al. Energy Proportionality and the Future: Metrics and Directions , 2010, 2010 39th International Conference on Parallel Processing Workshops.
[12] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[13] Lieven Eeckhout,et al. Trends in Server Energy Proportionality , 2011, Computer.
[14] Steven Swanson,et al. QSCORES: Trading dark silicon for scalable energy efficiency with quasi-specific cores , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[15] David C. Snowdon,et al. Koala: a platform for OS-level power management , 2009, EuroSys '09.
[16] Luiz André Barroso,et al. The Case for Energy-Proportional Computing , 2007, Computer.
[17] John L. Henning. SPEC CPU2006 benchmark descriptions , 2006, CARN.
[18] Amin Vahdat,et al. ECOSystem: managing energy as a first class operating system resource , 2002, ASPLOS X.
[19] Daniel Wong,et al. KnightShift: Scaling the Energy Proportionality Wall through Server-Level Heterogeneity , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.
[20] Steven Swanson,et al. Conservation cores: reducing the energy of mature computations , 2010, ASPLOS XV.
[21] Margaret Martonosi,et al. Long-term workload phases: duration predictions and applications to DVFS , 2005, IEEE Micro.
[22] Manish Marwah,et al. Delivering Energy Proportionality with Non Energy-Proportional Systems - Optimizing the Ensemble , 2008, HotPower.