Combinational Logic Design Using Six-Terminal NEM Relays

This paper presents techniques for designing nanoelectromechanical relay-based logic circuits using six-terminal relays that behave as universal logic gates. With proper biasing, a compact 2-to-1 multiplexer can be implemented using a single six-terminal relay. Arbitrary combinational logic functions can then be implemented using well-known binary decision diagram (BDD) techniques. Compared to a CMOS-style implementation using four-terminal relays, the BDD-based implementation can result in lower area without major impact on performance metrics such as delay, and energy (when the relays are scaled to small dimensions). Although it is possible to implement any combinational circuit with a single mechanical delay, the relay count can be significantly reduced for complex logic functions by allowing multiple mechanical delays.

[1]  Tsu-Jae King Liu,et al.  Perfectly Complementary Relay Design for Digital Logic Applications , 2010, IEEE Electron Device Letters.

[2]  Maciej J. Ciesielski,et al.  BDD decomposition for efficient logic synthesis , 1999, Proceedings 1999 IEEE International Conference on Computer Design: VLSI in Computers and Processors (Cat. No.99CB37040).

[3]  D. Elata,et al.  On the dynamic pull-in of electrostatic actuators with multiple degrees of freedom and multiple voltage sources , 2006, Journal of Microelectromechanical Systems.

[4]  Tiziano Villa,et al.  VIS: A System for Verification and Synthesis , 1996, CAV.

[5]  H. Wong,et al.  Nanoelectromechanical Logic and Memory Devices , 2009 .

[6]  S. Mitra,et al.  Titanium nitride sidewall stringer process for lateral nanoelectromechanical relays , 2010, 2010 IEEE 23rd International Conference on Micro Electro Mechanical Systems (MEMS).

[7]  G. Kovacs Micromachined Transducers Sourcebook , 1998 .

[8]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[9]  N. Singh,et al.  Vertical silicon nano-pillar for non-volatile memory , 2011, 2011 16th International Solid-State Sensors, Actuators and Microsystems Conference.

[10]  Omid Kavehei,et al.  A Novel CMOS Full Adder , 2007, 20th International Conference on VLSI Design held jointly with 6th International Conference on Embedded Systems (VLSID'07).

[11]  Vladimir Stojanovic,et al.  Integrated circuit design with NEM relays , 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

[12]  Wolfgang Fichtner,et al.  Low-power logic styles: CMOS versus pass-transistor logic , 1997, IEEE J. Solid State Circuits.

[13]  R. Howe,et al.  Design Considerations for Complementary Nanoelectromechanical Logic Gates , 2007, 2007 IEEE International Electron Devices Meeting.

[14]  K. Endo,et al.  Nanoscale TiN wet etching and its application for FinFET fabrication , 2009, 2009 International Semiconductor Device Research Symposium.

[15]  S. Mitra,et al.  Multi-spacer technique for low-voltage, high-aspect-ratio lateral electrostatic actuators , 2011, 2011 16th International Solid-State Sensors, Actuators and Microsystems Conference.

[16]  Elad Alon,et al.  Demonstration of Integrated Micro-Electro-Mechanical Relay Circuits for VLSI Applications , 2011, IEEE Journal of Solid-State Circuits.

[17]  Saburo Muroga,et al.  Binary Decision Diagrams , 2000, The VLSI Handbook.

[18]  S. Mitra,et al.  Dual sidewall lateral nanoelectromechanical relays with beam isolation , 2011, 2011 16th International Solid-State Sensors, Actuators and Microsystems Conference.

[19]  Tsu-Jae King Liu,et al.  4-terminal relay technology for complementary logic , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[20]  Tsu-Jae King Liu,et al.  Design, Optimization, and Scaling of MEM Relays for Ultra-Low-Power Digital Logic , 2011, IEEE Transactions on Electron Devices.

[21]  Claude E. Shannon,et al.  A symbolic analysis of relay and switching circuits , 1938, Transactions of the American Institute of Electrical Engineers.

[22]  Elad Alon,et al.  Mechanical Computing Redux: Relays for Integrated Circuit Applications , 2010, Proceedings of the IEEE.