暂无分享,去创建一个
[1] G. Reimbold,et al. Comparative Analysis of Program/Read Disturb Robustness for GeSbTe-Based Phase-Change Memory Devices , 2016, 2016 IEEE 8th International Memory Workshop (IMW).
[2] Jun Yang,et al. Mitigating Write Disturbance in Super-Dense Phase Change Memories , 2014, 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks.
[3] Seung Ryoul Maeng,et al. Efficient Hardware-Assisted Logging with Asynchronous and Direct-Update for Persistent Memory , 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[4] Vijayalakshmi Srinivasan,et al. Enhancing lifetime and security of PCM-based Main Memory with Start-Gap Wear Leveling , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[5] Norman P. Jouppi,et al. Practical nonvolatile multilevel-cell phase change memory , 2013, 2013 SC - International Conference for High Performance Computing, Networking, Storage and Analysis (SC).
[6] G. Edward Suh,et al. TWiCe: Preventing Row-hammering by Exploiting Time Window Counters , 2019, 2019 ACM/IEEE 46th Annual International Symposium on Computer Architecture (ISCA).
[7] D. Ielmini,et al. Analytical Modeling of Chalcogenide Crystallization for PCM Data-Retention Extrapolation , 2007, IEEE Transactions on Electron Devices.
[8] Sanjay Kumar,et al. System software for persistent memory , 2014, EuroSys '14.
[9] Ivy B. Peng,et al. System evaluation of the Intel optane byte-addressable NVM , 2019, MEMSYS.
[10] D. Ielmini,et al. Intrinsic Data Retention in Nanoscaled Phase-Change Memories—Part I: Monte Carlo Model for Crystallization and Percolation , 2006, IEEE Transactions on Electron Devices.
[11] Chris Fallin,et al. Flipping bits in memory without accessing them: An experimental study of DRAM disturbance errors , 2014, 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA).
[12] Sunggu Lee,et al. Write performance improvement by hiding R drift latency in phase-change RAM , 2012, DAC Design Automation Conference 2012.
[13] Kartik Mohanram,et al. ECS: Error-Correcting Strings for Lifetime Improvements in Nonvolatile Memories , 2017, ACM Trans. Archit. Code Optim..
[14] Engin Ipek,et al. Dynamically replicated memory: building reliable systems from nanoscale resistive memories , 2010, ASPLOS XV.
[15] Rami G. Melhem,et al. Increasing PCM main memory lifetime , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).
[16] David J. Lilja,et al. Exploring Performance Characteristics of the Optane 3D Xpoint Storage Technology , 2020, ACM Trans. Model. Perform. Evaluation Comput. Syst..
[17] Adrian Jackson,et al. An early evaluation of Intel's optane DC persistent memory module and its impact on high-performance scientific applications , 2019, SC.
[18] Jinpeng Wei,et al. Software Persistent Memory , 2012, USENIX Annual Technical Conference.
[19] Onur Mutlu,et al. Architecting phase change memory as a scalable dram alternative , 2009, ISCA '09.
[20] Milind Girkar,et al. Comparative architectural characterization of SPEC CPU2000 and CPU2006 benchmarks on the intel® Core™ 2 Duo processor , 2008, 2008 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation.
[21] Hsien-Hsin S. Lee,et al. SAFER: Stuck-At-Fault Error Recovery for Memories , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.
[22] Sunggu Lee,et al. Dynamic Wear Leveling for Phase-Change Memories With Endurance Variations , 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[23] Yucheng Zhang,et al. Security RBSG: Protecting Phase Change Memory with Security-Level Adjustable Dynamic Mapping , 2016, 2016 IEEE International Parallel and Distributed Processing Symposium (IPDPS).
[24] Seung-Yun Lee,et al. A Low Power Phase-Change Random Access Memory using a Data-Comparison Write Scheme , 2007, 2007 IEEE International Symposium on Circuits and Systems.
[25] Vladimir Mironov,et al. Evaluation of Intel Memory Drive Technology Performance for Scientific Applications , 2018, MCHPC@SC.
[26] Onur Mutlu,et al. RowHammer: A Retrospective , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[27] Suman Nath,et al. Rethinking Database Algorithms for Phase Change Memory , 2011, CIDR.
[28] Jun Yang,et al. SD-PCM: Constructing Reliable Super Dense Phase Change Memory under Write Disturbance , 2015, ASPLOS 2015.
[29] Youtao Zhang,et al. Throughput Enhancement for Phase Change Memories , 2014, IEEE Transactions on Computers.
[30] Frank Mueller,et al. Performance characterization of a DRAM-NVM hybrid memory architecture for HPC applications using intel optane DC persistent memory modules , 2019, MEMSYS.
[31] Yuan Xie,et al. Point and discard: A hard-error-tolerant architecture for non-volatile last level caches , 2012, DAC Design Automation Conference 2012.
[32] D. Ielmini,et al. Modeling of Programming and Read Performance in Phase-Change Memories—Part I: Cell Optimization and Scaling , 2008, IEEE Transactions on Electron Devices.
[33] Yan Solihin,et al. Proteus: A Flexible and Fast Software Supported Hardware Logging approach for NVM , 2017, 2017 50th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[34] Vijayalakshmi Srinivasan,et al. Efficient scrub mechanisms for error-prone emerging memories , 2012, IEEE International Symposium on High-Performance Comp Architecture.
[35] Karsten Schwan,et al. NVRAM-aware Logging in Transaction Systems , 2014, Proc. VLDB Endow..
[36] Hsien-Hsin S. Lee,et al. Tri-level-cell phase change memory: toward an efficient and reliable memory system , 2013, ISCA.
[37] Hongliang Yu,et al. Increasing Endurance and Security of Phase-Change Memory with Multi-Way Wear-Leveling , 2014, IEEE Transactions on Computers.
[38] Xiao Liu,et al. Basic Performance Measurements of the Intel Optane DC Persistent Memory Module , 2019, ArXiv.
[39] Muhammad Imran,et al. Flipcy: Efficient Pattern Redistribution for Enhancing MLC PCM Reliability and Storage Density , 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[40] Stratis Viglas,et al. ATOM: Atomic Durability in Non-volatile Memory through Hardware Logging , 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).
[41] Hyunjin Lee,et al. Flip-N-Write: A simple deterministic technique to improve PRAM write performance, energy and endurance , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[42] Yuan Xie,et al. Kiln: Closing the performance gap between systems with and without persistence support , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[43] D. Ielmini,et al. Modeling of Programming and Read Performance in Phase-Change Memories—Part II: Program Disturb and Mixed-Scaling Approach , 2008, IEEE Transactions on Electron Devices.
[44] Jiwu Shu,et al. Aegis: Partitioning data block for efficient recovery of stuck-at-faults in phase change memory , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[45] D. Ielmini,et al. Intrinsic Data Retention in Nanoscaled Phase-Change Memories—Part II: Statistical Analysis and Prediction of Failure Time , 2006, IEEE Transactions on Electron Devices.
[46] Dong Hyuk Woo,et al. Security Refresh: Protecting Phase-Change Memory against Malicious Wear Out , 2011, IEEE Micro.
[47] Muhammad Imran,et al. Effective Write Disturbance Mitigation Encoding Scheme for High-density PCM , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[48] Hyuk-Jae Lee,et al. An Effective DRAM Address Remapping for Mitigating Rowhammer Errors , 2019, IEEE Transactions on Computers.
[49] Moinuddin K. Qureshi. Pay-As-You-Go: Low-overhead hard-error correction for phase change memories , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).
[50] Mahmut T. Kandemir,et al. Evaluating STT-RAM as an energy-efficient main memory alternative , 2013, 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).
[51] Chia-Lin Yang,et al. Leave the cache hierarchy operation as it is: A new persistent memory accelerating approach , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).
[52] Jun Yang,et al. Exploit imbalanced cell writes to mitigate write disturbance in dense Phase Change Memory , 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).
[53] Wei Xu,et al. A Time-Aware Fault Tolerance Scheme to Improve Reliability of Multilevel Phase-Change Memory in the Presence of Significant Resistance Drift , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[54] Hyojun Kim,et al. Evaluating Phase Change Memory for Enterprise Storage Systems: A Study of Caching and Tiering Approaches , 2014, TOS.
[55] Kartik Mohanram,et al. ADAM: Architecture for write disturbance mitigation in scaled phase change memory , 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[56] Rami G. Melhem,et al. Dynamic partitioning to mitigate stuck-at faults in emerging memories , 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[57] Wongyu Shin,et al. Sparse-Insertion Write Cache to Mitigate Write Disturbance Errors in Phase Change Memory , 2019, IEEE Transactions on Computers.
[58] Dmitri B. Strukov,et al. Mellow Writes: Extending Lifetime in Resistive Memories through Selective Slow Write Backs , 2016, 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA).
[59] Tao Zhang,et al. NVMain 2.0: A User-Friendly Memory Simulator to Model (Non-)Volatile Memory Systems , 2015, IEEE Computer Architecture Letters.
[60] Karin Strauss,et al. Use ECP, not ECC, for hard failures in resistive memories , 2010, ISCA.
[61] Hyuk-Jae Lee,et al. Integration and Boost of a Read-Modify-Write Module in Phase Change Memory System , 2019, IEEE Transactions on Computers.
[62] Somayeh Sardashti,et al. The gem5 simulator , 2011, CARN.
[63] Kartik Mohanram,et al. Reliable Nonvolatile Memories: Techniques and Measures , 2017, IEEE Design & Test.
[64] Moinuddin K. Qureshi,et al. Reducing read latency of phase change memory via early read and Turbo Read , 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).
[65] Mohammad Arjomand,et al. REMAP: a reliability/endurance mechanism for advancing PCM , 2017, MEMSYS.
[66] Nisha Talagala,et al. Towards software defined persistent memory: rethinking software support for heterogenous memory architectures , 2015, INFLOW '15.
[67] Dan Williams,et al. Platform Storage Performance With 3D XPoint Technology , 2017, Proceedings of the IEEE.
[68] Vijayalakshmi Srinivasan,et al. Scalable high performance main memory system using phase-change memory technology , 2009, ISCA '09.
[69] Cong Xu,et al. NVSim: A Circuit-Level Performance, Energy, and Area Model for Emerging Nonvolatile Memory , 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[70] Andrea C. Arpaci-Dusseau,et al. Exploiting Intel Optane SSD for Microsoft SQL Server , 2019, DaMoN.
[71] Rajesh K. Gupta,et al. NV-Heaps: making persistent objects fast and safe with next-generation, non-volatile memories , 2011, ASPLOS XVI.
[72] Luis A. Lastras,et al. Practical and secure PCM systems by online detection of malicious write streams , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[73] Tao Li,et al. Helmet: A resistance drift resilient architecture for multi-level cell phase change memory system , 2011, 2011 IEEE/IFIP 41st International Conference on Dependable Systems & Networks (DSN).