Fault tolerance infrastructure and its reuse for offline testing: synergies of a unified architecture to cope with soft errors and hard faults
暂无分享,去创建一个
[1] Vishwani D. Agrawal,et al. A random access scans architecture to reduce hardware overhead , 2005, IEEE International Conference on Test, 2005..
[2] Tapan J. Chakraborty,et al. A TMR Scheme for SEU Mitigation in Scan Flip-Flops , 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).
[3] Stephen A. Cook,et al. The complexity of theorem-proving procedures , 1971, STOC.
[4] Paolo Prinetto,et al. Efficient Simulation of Structural Faults for the Reliability Evaluation at System-Level , 2010, 2010 19th IEEE Asian Test Symposium.
[5] George H. Mealy,et al. A method for synthesizing sequential circuits , 1955 .
[6] Naresh R. Shanbhag,et al. Sequential Element Design With Built-In Soft Error Resilience , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[7] Paolo Prinetto,et al. Efficient multi-level fault simulation of HW/SW systems for structural faults , 2011, Science China Information Sciences.
[8] Dong Hyun Baik,et al. Random access scan: a solution to test power, test data volume and test time , 2004, 17th International Conference on VLSI Design. Proceedings..
[9] Hans-Joachim Wunderlich,et al. Soft error correction in embedded storage elements , 2011, 2011 IEEE 17th International On-Line Testing Symposium.
[10] Lorenzo Alvisi,et al. Modeling the effect of technology trends on the soft error rate of combinational logic , 2002, Proceedings International Conference on Dependable Systems and Networks.
[11] Sarita V. Adve,et al. The impact of technology scaling on lifetime reliability , 2004, International Conference on Dependable Systems and Networks, 2004.
[12] Hans-Joachim Wunderlich,et al. Integrating Scan Design and Soft Error Correction in Low-Power Applications , 2008, 2008 14th IEEE International On-Line Testing Symposium.
[13] G. S. Tseitin. On the Complexity of Derivation in Propositional Calculus , 1983 .
[14] Paul D. Franzon,et al. FreePDK: An Open-Source Variation-Aware Design Kit , 2007, 2007 IEEE International Conference on Microelectronic Systems Education (MSE'07).
[15] J. Neumann. Probabilistic Logic and the Synthesis of Reliable Organisms from Unreliable Components , 1956 .
[16] Fabrizio Lombardi,et al. Design and Performance Evaluation of Radiation Hardened Latches for Nanoscale CMOS , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[17] Mingjing Chen,et al. Improving Circuit Robustness with Cost-Effective Soft-Error-Tolerant Sequential Elements , 2007, 16th Asian Test Symposium (ATS 2007).
[18] Subhasish Mitra,et al. X-compact: an efficient response compaction technique for test cost reduction , 2002, Proceedings. International Test Conference.
[19] Zhengfeng Huang. A high performance SEU-tolerant latch for nanoscale CMOS technology , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[20] Bradley S. Carlson,et al. Synthesis of SEU-tolerant ASICs using concurrent error correction , 1995, Proceedings. Fifth Great Lakes Symposium on VLSI.
[21] Xiaoqing Wen,et al. VLSI Test Principles and Architectures: Design for Testability (Systems on Silicon) , 2006 .
[22] F. W. Sexton,et al. Destructive single-event effects in semiconductor devices and ICs , 2003 .
[23] Sudhakar M. Reddy,et al. Finite memory test response compactors for embedded test applications , 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[24] S. Chakradhar,et al. Combinational ATPG theorems for identifying untestable faults in sequential circuits , 1993, Proceedings ETC 93 Third European Test Conference.
[25] Adit D. Singh,et al. Modified T-Flip-Flop based scan cell for RAS , 2010, 2010 15th IEEE European Test Symposium.
[26] Kurt Keutzer,et al. Why is ATPG easy? , 1999, DAC '99.
[27] Arnaud Virazel,et al. A pseudo-dynamic comparator for error detection in fault tolerant architectures , 2012, 2012 IEEE 30th VLSI Test Symposium (VTS).
[28] Michael Nicolaidis,et al. Reliability challenges of real-time systems in forthcoming technology nodes , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[29] Hans-Joachim Wunderlich,et al. Test Set Stripping Limiting the Maximum Number of Specified Bits , 2008, 4th IEEE International Symposium on Electronic Design, Test and Applications (delta 2008).
[30] Vivek De,et al. Measurements and analysis of SER-tolerant latch in a 90-nm dual-V/sub T/ CMOS process , 2004 .
[31] Robert Baumann,et al. Soft errors in advanced computer systems , 2005, IEEE Design & Test of Computers.
[32] Bianca Schroeder,et al. Cosmic rays don't strike twice: understanding the nature of DRAM errors and the implications for system design , 2012, ASPLOS XVII.
[33] David S. Johnson,et al. Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .
[34] David Blaauw,et al. Razor II: In Situ Error Detection and Correction for PVT and SER Tolerance , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[35] Bernd Becker,et al. Variation-Aware Fault Grading , 2012, 2012 IEEE 21st Asian Test Symposium.
[36] Hans-Joachim Wunderlich,et al. Scan chain clustering for test power reduction , 2008, 2008 45th ACM/IEEE Design Automation Conference.
[37] Thomas W. Williams,et al. A logic design structure for LSI testability , 1977, DAC '77.
[38] Jörg Henkel,et al. GUARD: GUAranteed reliability in dynamically reconfigurable systems , 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).
[39] Michele Favalli,et al. An analytical model for the aliasing probability in signature analysis testing , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[40] L. Nagel,et al. SPICE (Simulation Program with Integrated Circuit Emphasis) , 1973 .
[41] L. H. Goldstein,et al. SCOAP: Sandia Controllability/Observability Analysis Program , 1988, 17th Design Automation Conference.
[42] Richard W. Hamming,et al. Error detecting and error correcting codes , 1950 .
[43] Mikko H. Lipasti,et al. Time redundant parity for low-cost transient error detection , 2011, 2011 Design, Automation & Test in Europe.
[44] J. Paul Roth,et al. Techniques for the diagnosis of switching circuit failures , 1961, SWCT.
[45] Subhasish Mitra,et al. XPAND: an efficient test stimulus compression technique , 2006, IEEE Transactions on Computers.
[46] Jörg Henkel,et al. OTERA: Online test strategies for reliable reconfigurable architectures — Invited paper for the AHS-2012 special session “Dependability by reconfigurable hardware” , 2012, 2012 NASA/ESA Conference on Adaptive Hardware and Systems (AHS).
[47] David Blaauw,et al. A Power-Efficient 32 bit ARM Processor Using Timing-Error Detection and Correction for Transient-Error Tolerance and Adaptation to PVT Variation , 2011, IEEE Journal of Solid-State Circuits.
[48] Ming Zhang,et al. Design for Resilience to Soft Errors and Variations , 2007, 13th IEEE International On-Line Testing Symposium (IOLTS 2007).
[49] Jamil Kawa,et al. Design for Manufacturability and Yield for Nano-Scale CMOS , 2007, Series on Integrated Circuits and Systems.
[50] Martin Radetzki,et al. On Covering Structural Defects in NoCs by Functional Tests , 2014, 2014 IEEE 23rd Asian Test Symposium.
[51] Du Tang,et al. Soft error reliability in advanced CMOS technologies-trends and challenges , 2014, Science China Technological Sciences.
[52] Nilanjan Mukherjee,et al. Embedded deterministic test for low cost manufacturing test , 2002, Proceedings. International Test Conference.
[53] Donald E. Thomas,et al. A Model of Design Representation and Synthesis , 1985, 22nd ACM/IEEE Design Automation Conference.
[54] Jaume Segura,et al. CMOS Electronics: How It Works, How It Fails , 2004 .
[55] Carl E. Landwehr,et al. Basic concepts and taxonomy of dependable and secure computing , 2004, IEEE Transactions on Dependable and Secure Computing.
[56] Shubu Mukherjee,et al. Architecture Design for Soft Errors , 2008 .
[57] Ahmad Patooghy,et al. Low energy single event upset/single event transient-tolerant latch for deep subMicron technologies , 2009, IET Comput. Digit. Tech..
[58] K. Soumyanath,et al. Measurements and analysis of SER tolerant latch in a 90 nm dual-Vt CMOS process , 2003, Proceedings of the IEEE 2003 Custom Integrated Circuits Conference, 2003..
[59] Hans-Joachim Wunderlich,et al. Bit-Flipping Scan — A unified architecture for fault tolerance and offline test , 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).
[60] Xiaoqing Wen,et al. VLSI Test Principles and Architectures , 2006 .
[61] Kevin Barraclough,et al. I and i , 2001, BMJ : British Medical Journal.
[62] L. H. Goldstein,et al. Controllability/observability analysis of digital circuits , 1978 .
[63] Joel Emer,et al. A systematic methodology to compute the architectural vulnerability factors for a high-performance microprocessor , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[64] P. Eaton,et al. Soft error rate mitigation techniques for modern microcircuits , 2002, 2002 IEEE International Reliability Physics Symposium. Proceedings. 40th Annual (Cat. No.02CH37320).
[65] H. Ando,et al. Testing VLSI with Random Access Scan , 1980 .
[66] Paolo Prinetto,et al. System reliability evaluation using concurrent multi-level simulation of structural faults , 2010, 2010 IEEE International Test Conference.
[67] Hans-Joachim Wunderlich. Models in Hardware Testing , 2010 .
[68] Y. Yagil,et al. A systematic approach to SER estimation and solutions , 2003, 2003 IEEE International Reliability Physics Symposium Proceedings, 2003. 41st Annual..
[69] Alexander Czutro,et al. Efficiency and applications of SAT-based test pattern generation: complex fault models and optimisation problems , 2013 .
[70] P. K. Lala,et al. A robust test sequence for XOR trees , 1989, Twenty-Third Asilomar Conference on Signals, Systems and Computers, 1989..
[71] Richard D. Eldred. Test routines based on symbolic logical statements , 1958, ACM '58.
[72] David M. Bull,et al. RazorII: In Situ Error Detection and Correction for PVT and SER Tolerance , 2009, IEEE Journal of Solid-State Circuits.
[73] Jörg Henkel,et al. Test Strategies for Reliable Runtime Reconfigurable Architectures , 2013, IEEE Transactions on Computers.
[74] Paolo Prinetto,et al. Test exploration and validation using transaction level models , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.
[75] Daniel Le Berre,et al. The Sat4j library, release 2.2 , 2010, J. Satisf. Boolean Model. Comput..
[76] Hans-Joachim Wunderlich,et al. High-Throughput Logic Timing Simulation on GPGPUs , 2015, TODE.
[77] Wilfried Daehn,et al. Bounds and analysis of aliasing errors in linear feedback shift registers , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..
[78] Robert E. Lyons,et al. The Use of Triple-Modular Redundancy to Improve Computer Reliability , 1962, IBM J. Res. Dev..
[79] Jörg Henkel,et al. Transparent structural online test for reconfigurable systems , 2012, 2012 IEEE 18th International On-Line Testing Symposium (IOLTS).
[80] Mohamed I. Elmasry,et al. Modeling and comparing CMOS implementations of the C-element , 1998, IEEE Trans. Very Large Scale Integr. Syst..
[81] H.H.K. Tang,et al. Measurement of the flux and energy spectrum of cosmic-ray induced neutrons on the ground , 2004, IEEE Transactions on Nuclear Science.
[83] T. Calin,et al. Upset hardened memory design for submicron CMOS technology , 1996 .
[84] Xin Li,et al. A Realistic Evaluation of Memory Hardware Errors and Software System Susceptibility , 2010, USENIX Annual Technical Conference.
[85] Vishwani D. Agrawal,et al. Enhancing random access scan for soft error tolerance , 2010, 2010 42nd Southeastern Symposium on System Theory (SSST).
[86] Ahmad Patooghy,et al. Feedback Redundancy: A Power Efficient SEU-Tolerant Latch Design for Deep Sub-Micron Technologies , 2007, 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN'07).
[87] Gordon E. Moore,et al. Progress in digital integrated electronics , 1975 .
[88] Hans-Joachim Wunderlich,et al. Efficient Online and Offline Testing of Embedded DRAMs , 2002, IEEE Trans. Computers.
[89] Hans-Joachim Wunderlich,et al. Scan Test Planning for Power Reduction , 2007, 2007 44th ACM/IEEE Design Automation Conference.
[90] Hans-Joachim Wunderlich,et al. Error detecting refreshment for embedded DRAMs , 1999, Proceedings 17th IEEE VLSI Test Symposium (Cat. No.PR00146).
[91] Subhasish Mitra,et al. X-compact: an efficient response compaction technique , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[93] Mahdi Fazeli,et al. Low-Cost Scan-Chain-Based Technique to Recover Multiple Errors in TMR Systems , 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[94] Giovanni Squillero,et al. RT-Level ITC'99 Benchmarks and First ATPG Results , 2000, IEEE Des. Test Comput..
[95] Jih-Jong Wang,et al. Single event upset and hardening in 0.15 /spl mu/m antifuse-based field programmable gate array , 2003 .
[96] Subhasish Mitra,et al. XMAX: X-tolerant architecture for MAXimal test compression , 2003, Proceedings 21st International Conference on Computer Design.
[97] Hans-Joachim Wunderlich,et al. Structural Software-Based Self-Test of Network-on-Chip , 2014, 2014 IEEE 32nd VLSI Test Symposium (VTS).
[98] Michael Nicolaidis. GRAAL: a new fault tolerant design paradigm for mitigating the flaws of deep nanometric technologies , 2007, 2007 IEEE International Test Conference.
[99] Vyacheslav N. Yarmolik,et al. Multiple Errors Detection Technique for RAM , 2007, 2007 IEEE Design and Diagnostics of Electronic Circuits and Systems.
[100] Nilanjan Mukherjee,et al. Embedded deterministic test , 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
[101] Eduardo Pinheiro,et al. DRAM errors in the wild: a large-scale field study , 2009, SIGMETRICS '09.
[102] Shekhar Y. Borkar,et al. Designing reliable systems from unreliable components: the challenges of transistor variability and degradation , 2005, IEEE Micro.
[103] David Bryan,et al. Combinational profiles of sequential benchmark circuits , 1989, IEEE International Symposium on Circuits and Systems,.
[104] Hans-Joachim Wunderlich,et al. P-PET: Partial pseudo-exhaustive test for high defect coverage , 2011, 2011 IEEE International Test Conference.
[105] Niklas Sörensson,et al. Temporal induction by incremental SAT solving , 2003, BMC@CAV.
[106] Kaushik Roy,et al. Low-overhead design of soft-error-tolerant scan flip-flops with enhanced-scan capability , 2006, Asia and South Pacific Conference on Design Automation, 2006..
[107] Lorena Anghel,et al. An effective approach to detect logic soft errors in digital circuits based on GRAAL , 2009, 2009 10th International Symposium on Quality Electronic Design.
[108] Trevor Mudge,et al. Razor: a low-power pipeline based on circuit-level timing speculation , 2003, Proceedings. 36th Annual IEEE/ACM International Symposium on Microarchitecture, 2003. MICRO-36..
[109] N. Seifert,et al. Timing vulnerability factors of sequentials , 2004, IEEE Transactions on Device and Materials Reliability.
[110] Daniela Munteanu,et al. Soft Errors: From Particles to Circuits , 2015 .
[111] N. Seifert,et al. Robust system design with built-in soft-error resilience , 2005, Computer.
[112] Hans-Joachim Wunderlich,et al. Built-in self-diagnosis targeting arbitrary defects with partial pseudo-exhaustive test , 2012, 2012 13th Latin American Test Workshop (LATW).
[113] Oscar H. Ibarra,et al. Polynomially Complete Fault Detection Problems , 1975, IEEE Transactions on Computers.
[114] K. Hirose,et al. Scan-Architecture-Based Evaluation Technique of SET and SEU Soft-Error Rates at Each Flip-Flop in Logic VLSI Systems , 2008, IEEE Transactions on Nuclear Science.
[115] Cristian Constantinescu,et al. Trends and Challenges in VLSI Circuit Reliability , 2003, IEEE Micro.
[116] Gilles Gasiot,et al. Comparisons of soft error rate for SRAMs in commercial SOI and bulk below the 130-nm technology node , 2003 .
[117] Hans-Joachim Wunderlich,et al. Self-adjusting output data compression: An efficient BIST technique for RAMs , 1998, Proceedings Design, Automation and Test in Europe.
[118] Sudhakar M. Reddy,et al. Convolutional compaction of test responses , 2003, International Test Conference, 2003. Proceedings. ITC 2003..
[119] Jörg Henkel,et al. Module diversification: Fault tolerance and aging mitigation for runtime reconfigurable architectures , 2013, 2013 IEEE International Test Conference (ITC).
[120] Seyed Ghassem Miremadi,et al. ScTMR: A scan chain-based error recovery technique for TMR systems in safety-critical applications , 2011, 2011 Design, Automation & Test in Europe.
[121] Dong Hyun Baik,et al. State-reuse Test Generation for Progressive Random Access Scan: Solution to Test Power, Application Time and Data Size , 2005, 14th Asian Test Symposium (ATS'05).
[122] Huaguo Liang,et al. A High Performance SEU Tolerant Latch , 2015, J. Electron. Test..
[123] Hideo Ito,et al. Construction of SEU Tolerant Flip-Flops Allowing Enhanced Scan Delay Fault Testing , 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[124] Trevor N. Mudge,et al. Power: A First-Class Architectural Design Constraint , 2001, Computer.
[125] N. Seifert,et al. Comparison of alpha-particle and neutron-induced combinational and sequential logic error rates at the 32nm technology node , 2009, 2009 IEEE International Reliability Physics Symposium.
[126] Donald W. Loveland,et al. A machine program for theorem-proving , 2011, CACM.
[127] B. L. Bhuva,et al. Soft error rate comparison of various hardened and non-hardened flip-flops at 28-nm node , 2014, 2014 IEEE International Reliability Physics Symposium.
[128] G.E. Moore,et al. Cramming More Components Onto Integrated Circuits , 1998, Proceedings of the IEEE.
[129] James B. Angell,et al. Enhancing Testability of Large-Scale Integrated Circuits via Test Points and Additional Logic , 1973, IEEE Transactions on Computers.
[130] Toby Walsh,et al. Handbook of satisfiability , 2009 .
[131] Hans-Joachim Wunderlich,et al. Eingebetteter Test zur hochgenauen Defekt-Lokalisierung , 2011 .
[132] J. Draper,et al. The DF-dice storage element for immunity to soft errors , 2005, 48th Midwest Symposium on Circuits and Systems, 2005..
[133] H. Wunderlich,et al. Verlustleistungsoptimierende Testplanung zur Steigerung von Zuverlssigkeit und Ausbeute , 2007 .
[134] David Blaauw,et al. Bubble Razor: Eliminating Timing Margins in an ARM Cortex-M3 Processor in 45 nm CMOS Using Architecturally Independent Error Detection and Correction , 2013, IEEE Journal of Solid-State Circuits.
[135] Gajski,et al. Guest Editors' Introduction: New VLSI Tools , 1983, Computer.
[136] Michael Nicolaidis,et al. Soft Errors in Modern Electronic Systems , 2010 .
[137] Scott Davidson,et al. ITC'99 Benchmark Circuits - Preliminary Results , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).
[138] J-C. Laprie,et al. DEPENDABLE COMPUTING AND FAULT TOLERANCE : CONCEPTS AND TERMINOLOGY , 1995, Twenty-Fifth International Symposium on Fault-Tolerant Computing, 1995, ' Highlights from Twenty-Five Years'..
[139] M. Gruetzner,et al. Aliasing Errors in Signature in Analysis Registers , 1987, IEEE Design & Test of Computers.
[140] Vishwani D. Agrawal,et al. A Novel Random Access Scan Flip-Flop Design , 2005 .
[141] Nur A. Touba,et al. Survey of Test Vector Compression Techniques , 2006, IEEE Design & Test of Computers.
[142] Chang Liu,et al. Synthesis of workload monitors for on-line stress prediction , 2013, 2013 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFTS).
[143] Bernd Becker,et al. Variation-aware deterministic ATPG , 2014, 2014 19th IEEE European Test Symposium (ETS).
[144] Hans-Joachim Wunderlich,et al. Embedded Test for Highly Accurate Defect Localization , 2011, 2011 Asian Test Symposium.
[145] Dong Hyun Baik,et al. Progressive random access scan: a simultaneous solution to test power, test data volume and test time , 2005, IEEE International Conference on Test, 2005..
[146] Charles E. Stroud,et al. Multiple error detection and identification via signature analysis , 1995, J. Electron. Test..
[147] Andrea Costa,et al. A Transparent based Programmable Memory BIST , 2006, Eleventh IEEE European Test Symposium (ETS'06).
[148] Noriyuki Ito,et al. Automatic incorporation of on-chip testability circuits , 1990, 27th ACM/IEEE Design Automation Conference.
[149] L. Carro,et al. Analyzing area and performance penalty of protecting different digital modules with Hamming code and triple modular redundancy , 2002, Proceedings. 15th Symposium on Integrated Circuits and Systems Design.