Write-Efficient STT/SOT Hybrid Triple-Level Cell for High-Density MRAM
暂无分享,去创建一个
Yansong Xu | Youguang Zhang | Weisheng Zhao | Bi Wu | Zhaohao Wang | Yijiao Wang | Weisheng Zhao | Youguang Zhang | Zhaohao Wang | Yijiao Wang | Bi Wu | Yansong Xu
[1] Zhaohao Wang,et al. Perpendicular-anisotropy magnetic tunnel junction switched by spin-Hall-assisted spin-transfer torque , 2015, Journal of Physics D: Applied Physics.
[2] Tao Zhang,et al. Using multi-level cell STT-RAM for fast and energy-efficient local checkpointing , 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).
[3] Jacques-Olivier Klein,et al. Failure and reliability analysis of STT-MRAM , 2012, Microelectron. Reliab..
[4] Seong-Ook Jung,et al. Reference-Scheme Study and Novel Reference Scheme for Deep Submicrometer STT-RAM , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.
[5] Xin Li,et al. Energy Optimization for Multi-level Cell STT-MRAM Using State Remapping , 2016, 2016 IEEE 18th International Conference on High Performance Computing and Communications; IEEE 14th International Conference on Smart City; IEEE 2nd International Conference on Data Science and Systems (HPCC/SmartCity/DSS).
[6] Brajesh Kumar Kaushik,et al. Area and Energy Efficient Series Multilevel Cell STT-MRAMs for Optimized Read–Write Operations , 2019, IEEE Transactions on Magnetics.
[7] Ken Takeuchi,et al. Write and Read Frequency-Based Word-Line Batch $V_{\mathrm{TH}}$ Modulation for 2-D and 3-D-TLC NAND Flash Memories , 2018, IEEE Journal of Solid-State Circuits.
[8] A. Fert,et al. Field-free switching of a perpendicular magnetic tunnel junction through the interplay of spin–orbit and spin-transfer torques , 2018, Nature Electronics.
[9] Xuanyao Fong,et al. Multilevel Spin-Orbit Torque MRAMs , 2015, IEEE Transactions on Electron Devices.
[10] Kaushik Roy,et al. Spin-Transfer Torque Memories: Devices, Circuits, and Systems , 2016, Proceedings of the IEEE.
[11] Youtao Zhang,et al. Read Error Resilient MLC STT-MRAM Based Last Level Cache , 2017, 2017 IEEE International Conference on Computer Design (ICCD).
[12] Weisheng Zhao,et al. A Multilevel Cell for STT-MRAM Realized by Capping Layer Adjustment , 2015, IEEE Transactions on Magnetics.
[13] Hiroshi Nakamura,et al. 7.2 4Mb STT-MRAM-based cache with memory-access-aware power optimization and write-verify-write / read-modify-write scheme , 2016, 2016 IEEE International Solid-State Circuits Conference (ISSCC).
[14] X. Lou,et al. Demonstration of multilevel cell spin transfer switching in MgO magnetic tunnel junctions , 2008 .
[15] Massimo Alioto,et al. Novel Time-Based Sensing Scheme for STT-MRAMs , 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).
[16] Mohamad Towfik Krounbi,et al. Basic principles of STT-MRAM cell operation in memory arrays , 2013 .
[17] H. Ohno,et al. A multi-level-cell spin-transfer torque memory with series-stacked magnetotunnel junctions , 2010, 2010 Symposium on VLSI Technology.
[18] David Blaauw,et al. A 1Mb 28nm STT-MRAM with 2.8ns read access time at 1.2V VDD using single-cap offset-cancelled sense amplifier and in-situ self-write-termination , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).
[19] Yiran Chen,et al. A 130 nm 1.2 V/3.3 V 16 Kb Spin-Transfer Torque Random Access Memory With Nondestructive Self-Reference Sensing Scheme , 2012, IEEE Journal of Solid-State Circuits.
[20] Jun Yang,et al. Constructing large and fast multi-level cell STT-MRAM based cache for embedded processors , 2012, DAC Design Automation Conference 2012.
[21] Diana Tsvetanova,et al. SOT-MRAM 300MM Integration for Low Power and Ultrafast Embedded Memories , 2018, 2018 IEEE Symposium on VLSI Circuits.
[22] Mahendra Pakala,et al. Scalability of Magnetic Tunnel Junctions Patterned by a Novel Plasma Ribbon Beam Etching Process on 300 mm Wafers , 2015, IEEE Transactions on Magnetics.
[23] Yiran Chen,et al. A new self-reference sensing scheme for TLC MRAM , 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).
[24] Weisheng Zhao,et al. Proposal of Toggle Spin Torques Magnetic RAM for Ultrafast Computing , 2019, IEEE Electron Device Letters.
[25] Meng-Fan Chang,et al. 19.4 embedded 1Mb ReRAM in 28nm CMOS with 0.27-to-1V read using swing-sample-and-couple sense amplifier and self-boost-write-termination scheme , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[26] H. Ohno,et al. Spintronics based random access memory: a review , 2017 .