Straintronics: A Leap towards Ultimate Energy Efficiency of Magnetic Memory and Logic
暂无分享,去创建一个
[1] Riccardo Rovatti,et al. Implementation and Testing of High-Speed CMOS True Random Number Generators Based on Chaotic Systems , 2010, IEEE Transactions on Circuits and Systems I: Regular Papers.
[2] Eitan N. Shauly,et al. CMOS Leakage and Power Reduction in Transistors and Circuits: Process and Layout Considerations , 2012 .
[3] An InAs nanowire spin transistor with subthreshold slope of 20mV/dec , 2012, 70th Device Research Conference.
[4] Weisheng Zhao,et al. Strain-controlled magnetic domain wall propagation in hybrid piezoelectric/ferromagnetic structures , 2013, Nature Communications.
[5] Jayasimha Atulasimha,et al. Hybrid spintronics and straintronics: A magnetic technology for ultra low energy computing and signal processing , 2011, 1101.2222.
[6] Songlin Feng,et al. An 8-Mb Phase-Change Random Access Memory Chip Based on a Resistor-on-Via-Stacked-Plug Storage Cell , 2012, IEEE Electron Device Letters.
[7] Tahir Ghani,et al. Voltage induced magnetostrictive switching of nanomagnets: Strain assisted strain transfer torque random access memory , 2014 .
[8] Zhiyu Liu,et al. Leakage Power Characteristics of Dynamic Circuits in Nanometer CMOS Technologies , 2006, IEEE Transactions on Circuits and Systems II: Express Briefs.
[9] T. Kuroda. CMOS design challenges to power wall , 2001, Digest of Papers. Microprocesses and Nanotechnology 2001. 2001 International Microprocesses and Nanotechnology Conference (IEEE Cat. No.01EX468).
[10] Weng-Fai Wong,et al. STT-RAM Cache Hierarchy With Multiretention MTJ Designs , 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.
[11] Himanshu Kaul,et al. 2.4 Gbps, 7 mW All-Digital PVT-Variation Tolerant True Random Number Generator for 45 nm CMOS High-Performance Microprocessors , 2012, IEEE Journal of Solid-State Circuits.
[12] Preparation and properties of elastically coupled electro-magnetic elements with a bonding structure , 1998 .
[13] Piotr Zbigniew Wieczorek,et al. An FPGA Implementation of the Resolve Time-Based True Random Number Generator With Quality Control , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.
[14] Michiel Steyaert,et al. A 500 mV 650 pW random number generator in 130 nm CMOS for a UWB localization system , 2010, 2010 Proceedings of ESSCIRC.
[15] Kuntal Roy,et al. Ultra-low-energy non-volatile straintronic computing using single multiferroic composites , 2015, 1504.03907.
[16] Sang Ho Lim,et al. Magnetostrictive properties of polymer-bonded Terfenol-D composites , 1999 .
[17] Supriyo Bandyopadhyay,et al. Experimental Clocking of Nanomagnets with Strain for Ultralow Power Boolean Logic. , 2014, Nano letters.
[18] C. Neugebauer. Saturation Magnetization of Nickel Films of Thickness Less Than 100 A , 1959 .
[19] Tak H. Ning. Directions for silicon technology as we approach the end of CMOS scaling , 2010 .
[20] Yong Lian,et al. A 1-V 450-nW Fully Integrated Programmable Biomedical Sensor Interface Chip , 2009, IEEE Journal of Solid-State Circuits.
[21] Pinaki Mazumder,et al. Straintronics-Based True Random Number Generator for High-Speed and Energy-Limited Applications , 2016, IEEE Transactions on Magnetics.
[22] H.-D. Wohlmuth,et al. A 24-Gb/s 27 - 1 Pseudo Random Bit Sequence Generator IC in 0.13 μm Bulk CMOS , 2006, 2006 Proceedings of the 32nd European Solid-State Circuits Conference.
[23] Moongyu Jang,et al. SOI single-electron transistor with low RC delay for logic cells and SET/FET hybrid ICs , 2005 .
[24] David Blaauw,et al. A Sub-200mV 6T SRAM in 0.13μm CMOS , 2007, 2007 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.
[25] S.W. Kim,et al. A 0.9V 66MHz access, 0.13um 8M(256K/spl times/32) local SONOS embedded flash EEPROM , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[26] Vamsy P. Chodavarapu,et al. Differential Wide Temperature Range CMOS Interface Circuit for Capacitive MEMS Pressure Sensors , 2015, Sensors.
[27] Ramazan Yeniceri,et al. True random bit generation with time-delay sampled-data feedback system , 2013 .
[28] R. Scheuerlein,et al. A 10 ns read and write non-volatile memory array using a magnetic tunnel junction and FET switch in each cell , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).
[29] A. V. Carazo,et al. Magnetoelectric Properties in Piezoelectric and Magnetostrictive Laminate Composites , 2001 .
[30] Hualian Tang,et al. A 16 Kb Spin-Transfer Torque Random Access Memory With Self-Enable Switching and Precharge Sensing Schemes , 2014, IEEE Transactions on Magnetics.
[31] W. Brown. Thermal Fluctuations of a Single‐Domain Particle , 1963 .
[32] M. Luescher,et al. A Portable High-quality Random Number Generator for Lattice Field Theory Simulations , 1993 .
[33] S. K. Park,et al. Random number generators: good ones are hard to find , 1988, CACM.
[34] Yuichi Ito,et al. A 90nm 12ns 32Mb 2T1MTJ MRAM , 2009, 2009 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[35] J. C. Sloncxewski. Current-driven excitation of magnetic multilayers , 2003 .
[36] Kang L. Wang,et al. Electric-field-induced thermally assisted switching of monodomain magnetic bits , 2013 .
[37] S. Datta,et al. Switching Energy of Ferromagnetic Logic Bits , 2009, IEEE Transactions on Nanotechnology.
[38] Kaushik Roy,et al. Low voltage low power CMOS design techniques for deep submicron ICs , 2000, VLSI Design 2000. Wireless and Digital Imaging in the Millennium. Proceedings of 13th International Conference on VLSI Design.
[39] H. Hoenigschmid,et al. A high-speed 128-kb MRAM core for future universal memory applications , 2004, IEEE Journal of Solid-State Circuits.
[40] Karthik Yogendra,et al. Ultra-Low power neuromorphic computing with spin-torque devices , 2013, 2013 Third Berkeley Symposium on Energy Efficient Electronic Systems (E3S).
[41] Pinaki Mazumder,et al. Straintronics-Based Random Access Memory as Universal Data Storage Devices , 2015, IEEE Transactions on Magnetics.
[42] Pinaki Mazumder,et al. Memristor crossbar memory for hybrid ultra low power hearing aid speech processor , 2013, 2013 13th IEEE International Conference on Nanotechnology (IEEE-NANO 2013).
[43] Takuji Nishimura,et al. Mersenne twister: a 623-dimensionally equidistributed uniform pseudo-random number generator , 1998, TOMC.
[44] Pinaki Mazumder,et al. Straintronics: A leap toward ultimate energy efficiency of magnetic random access memories. , 2015, IEEE Nanotechnology Magazine.
[45] Yusuf Leblebici,et al. Subthreshold leakage reduction: A comparative study of SCL and CMOS design , 2009, 2009 IEEE International Symposium on Circuits and Systems.
[46] Sreedhar Natarajan,et al. A 500MHz Random-Access Embedded 1Mb DRAM Macro in Bulk CMOS , 2008, 2008 IEEE International Solid-State Circuits Conference - Digest of Technical Papers.
[47] Takesi Nagata,et al. Anisotropic magnetic susceptibility of rocks under mechanical stresses , 1970 .
[48] Pinaki Mazumder,et al. Effect of temperature variations and thermal noise on the static and dynamic behavior of straintronics devices , 2015 .
[50] G. Groeseneken,et al. Recent trends in reliability assessment of advanced CMOS technologies , 2005, Proceedings of the 2005 International Conference on Microelectronic Test Structures, 2005. ICMTS 2005..
[51] S. Datta,et al. Interacting systems for self-correcting low power switching , 2006, cond-mat/0611569.
[52] D. Bower. The magnetostriction coefficients of nickel , 1971, Proceedings of the Royal Society of London. A. Mathematical and Physical Sciences.
[53] P. Mazumder,et al. Modeling of temperature dependency of magnetization in straintronics memory devices , 2015, 2015 International Conference on Simulation of Semiconductor Processes and Devices (SISPAD).
[54] Pinaki Mazumder,et al. Straintronics-based magnetic tunneling junction: Dynamic and static behavior analysis and material investigation , 2014 .
[55] A. Rüdiger,et al. Pushing towards the digital storage limit , 2004, Nature materials.
[56] Yukihiro Fujimoto,et al. A current-controlled latch sense amplifier and a static power-saving input buffer for low-power architecture , 1993 .
[57] Linda M. Engelbrecht. Modeling spintronics devices in Verilog-A for use with industry-standard simulation tools , 2011 .
[58] Edward J. Nowak,et al. Maintaining the benefits of CMOS scaling when scaling bogs down , 2002, IBM J. Res. Dev..
[59] G Grinstein,et al. Coarse graining in micromagnetics. , 2003, Physical review letters.
[60] Lawrence T. Pileggi,et al. mLogic: Ultra-low voltage non-volatile logic circuits using STT-MTJ devices , 2012, DAC Design Automation Conference 2012.
[61] Marco Avellaneda,et al. Magnetoelectric Effect in Piezoelectric/Magnetostrictive Multilayer (2-2) Composites , 1994 .
[62] E. Chen,et al. Non-volatile spin-transfer torque RAM (STT-RAM): Data, analysis and design requirements for thermal stability , 2010, 2010 Symposium on VLSI Technology.
[63] J. Zhu,et al. Micromagnetics of spin valve memory cells , 1996 .
[64] J. Katine,et al. Time-resolved reversal of spin-transfer switching in a nanomagnet. , 2004, Physical review letters.
[65] David Blaauw,et al. Energy efficient design for subthreshold supply voltage operation , 2006, 2006 IEEE International Symposium on Circuits and Systems.
[66] David Blaauw,et al. 16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).
[67] Marilyn Wun-Fogle,et al. Magnetostrictive Properties of Galfenol Alloys Under Compressive Stress , 2002 .
[68] S. J. Allen,et al. Far-Infrared Spectrum Analysis Using Plasmon Modes in a Quantum-Well Transistor , 2006, IEEE Photonics Technology Letters.
[69] Alessandro Trifiletti,et al. A High-Speed Oscillator-Based Truly Random Number Source for Cryptographic Applications on a Smart Card IC , 2003, IEEE Trans. Computers.
[70] R. Quinn,et al. Chalcogenide-based non-volatile memory technology , 2001, 2001 IEEE Aerospace Conference Proceedings (Cat. No.01TH8542).
[71] Anthony B. Kos,et al. Physical limitations to efficient high-speed spin-torque switching in magnetic tunnel junctions , 2011 .
[72] A. Tagantsev,et al. Fatigue of piezoelectric properties in Pb(Zr,Ti)O3 films , 1996 .
[73] R. Skomski. Micromagnetic Localization , 1998, 7th Joint MMM-Intermag Conference. Abstracts (Cat. No.98CH36275).
[74] Shekhar Y. Borkar,et al. Design challenges of technology scaling , 1999, IEEE Micro.
[75] Jing-Reng Huang,et al. A chaos-based fully digital 120 MHz pseudo random number generator , 2004, The 2004 IEEE Asia-Pacific Conference on Circuits and Systems, 2004. Proceedings..
[76] J. Holleman,et al. A 3 $\mu$W CMOS True Random Number Generator With Adaptive Floating-Gate Offset Cancellation , 2008, IEEE Journal of Solid-State Circuits.
[77] Sung-Chul Shin,et al. Voltage control of magnetization easy-axes: a potential candidate for spin switching in future ultrahigh-density nonvolatile magnetic random access memory , 2004 .
[78] Mircea R. Stan,et al. Relaxing non-volatility for fast and energy-efficient STT-RAM caches , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.
[79] G. Moore. Cramming more components onto integrated circuits, Reprinted from Electronics, volume 38, number 8, April 19, 1965, pp.114 ff. , 2006, IEEE Solid-State Circuits Newsletter.
[80] Yiran Chen,et al. A 130 nm 1.2 V/3.3 V 16 Kb Spin-Transfer Torque Random Access Memory With Nondestructive Self-Reference Sensing Scheme , 2012, IEEE Journal of Solid-State Circuits.
[81] J. Alvin Connelly,et al. A noise-based IC random number generator for applications in cryptography , 2000 .
[82] Benny Pinkas,et al. Analysis of the Linux random number generator , 2006, 2006 IEEE Symposium on Security and Privacy (S&P'06).
[83] Yoshihiro Ueda,et al. A 64Mb MRAM with clamped-reference and adequate-reference schemes , 2010, 2010 IEEE International Solid-State Circuits Conference - (ISSCC).
[84] V. Speriosu,et al. Spin-valve RAM cell , 1995 .
[85] A.P. Chandrakasan,et al. A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation , 2007, IEEE Journal of Solid-State Circuits.
[86] S. Le,et al. Perpendicular spin transfer torque magnetic random access memories with high spin torque efficiency and thermal stability for embedded applications (invited) , 2014 .
[87] M. Wun-Fogle,et al. Induced Magnetic Anisotropy in Stress-Annealed Galfenol Alloys , 2006, IEEE Transactions on Magnetics.
[88] P. Mazumder,et al. New logic circuits consisting of quantum dots and CMOS , 2005, Proceedings of the 2005 European Conference on Circuit Theory and Design, 2005..
[89] Jan M. Rabaey,et al. Digital Integrated Circuits: A Design Perspective , 1995 .
[90] K. Srinivasan,et al. Crystallographic aspects of L10 magnetic materials , 2005 .
[91] H. Ohno,et al. Fabrication of a Nonvolatile Full Adder Based on Logic-in-Memory Architecture Using Magnetic Tunnel Junctions , 2008 .
[92] Joseph A. Paradiso,et al. Energy scavenging for mobile and wireless electronics , 2005, IEEE Pervasive Computing.
[93] F. W. Constant,et al. The Absolute Saturation of Cubic Cobalt , 1933 .
[94] Kang L. Wang,et al. Temperature dependence of the voltage-controlled perpendicular anisotropy in nanoscale MgO|CoFeB|Ta magnetic tunnel junctions , 2014 .
[95] Trevor Mudge,et al. True Random Number Generator With a Metastability-Based Quality Control , 2008, IEEE J. Solid State Circuits.
[96] Piotr Zbigniew Wieczorek,et al. Dual-Metastability Time-Competitive True Random Number Generator , 2014, IEEE Transactions on Circuits and Systems I: Regular Papers.
[97] Wei-gang Wang,et al. Electric-field-assisted switching in magnetic tunnel junctions. , 2012, Nature materials.
[98] S. Arajs,et al. Magnetic susceptibility of face-centered cubic cobalt just above the ferromagnetic Curie temperature , 1965 .
[99] H. Hoenigschmid,et al. A 16Mb MRAM featuring bootstrapped write drivers , 2004, 2004 Symposium on VLSI Circuits. Digest of Technical Papers (IEEE Cat. No.04CH37525).
[100] C. Kittel. Introduction to solid state physics , 1954 .
[101] M. Julliere. Tunneling between ferromagnetic films , 1975 .
[102] Martin Moskovits,et al. Nonlithographic nano-wire arrays: fabrication, physics, and device applications , 1996 .
[103] E. A. Ash. Active and passive phonon devices , 1966 .
[104] Mário P. Véstias,et al. Non-volatile memory circuits for FIMS and TAS writing techniques on magnetic tunnelling junctions , 2012, 2012 19th IEEE International Conference on Electronics, Circuits, and Systems (ICECS 2012).
[105] R. Cowburn,et al. Single-Domain Circular Nanomagnets , 1999 .
[106] A. Fert,et al. The emergence of spin electronics in data storage. , 2007, Nature materials.
[107] F. G. Sánchez. Modeling of field and thermal magnetization reversal in nanostructured magnetic materials , 2007 .