A Survey of On-Chip Optical Interconnects

Numerous challenges present themselves when scaling traditional on-chip electrical networks to large manycore processors. Some of these challenges include high latency, limitations on bandwidth, and power consumption. Researchers have therefore been looking for alternatives. As a result, on-chip nanophotonics has emerged as a strong substitute for traditional electrical NoCs. As of 2017, on-chip optical networks have moved out of textbooks and found commercial applicability in short-haul networks such as links between servers on the same rack or between two components on the motherboard. It is widely acknowledged that in the near future, optical technologies will move beyond research prototypes and find their way into the chip. Optical networks already feature in the roadmaps of major processor manufacturers and most on-chip optical devices are beginning to show signs of maturity. This article is designed to provide a survey of on-chip optical technologies covering the basic physics underlying the operation of optical technologies, optical devices, popular architectures, power reduction techniques, and applications. The aim of this survey article is to start from the fundamental concepts and move on to the latest in the field of on-chip optical interconnects.

[1]  Steve Blair,et al.  Crossing-aware channel routing for photonic waveguides , 2013, 2013 IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS).

[2]  Smruti R. Sarangi,et al.  Optical overlay NUCA: A high speed substrate for shared L2 caches , 2014, 2014 21st International Conference on High Performance Computing (HiPC).

[3]  Ian O'Connor,et al.  Optical crossbars on chip, a comparative study based on worst‐case losses , 2014, Concurr. Comput. Pract. Exp..

[4]  Sebastian Werner,et al.  Amon: An Advanced Mesh-like Optical NoC , 2015, 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects.

[5]  Venkatesh Akella,et al.  Addressing system-level trimming issues in on-chip nanophotonic networks , 2011, 2011 IEEE 17th International Symposium on High Performance Computer Architecture.

[6]  John E. Bowers,et al.  Energy Efficient and Energy Proportional Optical Interconnects for Multi-Core Processors: Driving the Need for On-Chip Sources , 2014, IEEE Journal of Selected Topics in Quantum Electronics.

[7]  Smruti R. Sarangi,et al.  NUPLet: A Photonic Based Multi-Chip NUCA Architecture , 2017, 2017 IEEE International Conference on Computer Design (ICCD).

[8]  William J. Dally,et al.  Flattened Butterfly Topology for On-Chip Networks , 2007, 40th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 2007).

[9]  Sudeep Pasricha,et al.  UC-PHOTON: A novel hybrid photonic network-on-chip for multiple use-case applications , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[10]  Single-mode optical waveguide using siloxane polymer on Cu-polyimide substrate , 1995, 1995 Proceedings. 45th Electronic Components and Technology Conference.

[11]  Wei Zhang,et al.  A Hierarchical Hybrid Optical-Electronic Network-on-Chip , 2010, 2010 IEEE Computer Society Annual Symposium on VLSI.

[12]  A. Enard,et al.  High Optical Power, High Gain and High Dynamic Range Directly Modulated Optical Link , 2013, Journal of Lightwave Technology.

[13]  Larry A. Coldren,et al.  Vertical-Cavity Surface-Emitting Lasers , 2001 .

[14]  Ian O'Connor,et al.  Multi-Optical Network-on-Chip for Large Scale MPSoC , 2010, IEEE Embedded Systems Letters.

[15]  Roberto Proietti,et al.  AWGR-based all-to-all optical interconnects using limited number of wavelengths , 2013, 2013 Optical Interconnects Conference.

[16]  David H. Albonesi,et al.  Phastlane: a rapid transit optical routing network , 2009, ISCA '09.

[17]  Qianfan Xu,et al.  Micrometre-scale silicon electro-optic modulator , 2005, Nature.

[18]  Wei Zhang,et al.  A low-power fat tree-based optical Network-On-Chip for multiprocessor system-on-chip , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[19]  Ashok V. Krishnamoorthy,et al.  A micro-architectural analysis of switched photonic multi-chip interconnects , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[20]  Nikolaos Hardavellas,et al.  EcoLaser: An adaptive laser control for energy-efficient on-chip photonic interconnects , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[21]  Jun Yang,et al.  A composite and scalable cache coherence protocol for large scale CMPs , 2011, ICS '11.

[22]  Jung Ho Ahn,et al.  Corona: System Implications of Emerging Nanophotonic Technology , 2008, 2008 International Symposium on Computer Architecture.

[23]  T. Birks,et al.  Shape of fiber tapers , 1992 .

[24]  Shaahin Hessabi,et al.  All-optical wavelength-routed NoC based on a novel hierarchical topology , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[25]  S.J.B. Yoo,et al.  Athermalizing and Trimming of Slotted Silicon Microring Resonators With UV-Sensitive PMMA Upper-Cladding , 2009, IEEE Photonics Technology Letters.

[26]  Avinash Karanth Kodi,et al.  Design of a scalable nanophotonic interconnect for future multicores , 2009, ANCS '09.

[27]  P. Dumon,et al.  Subnanometer Linewidth Uniformity in Silicon Nanophotonic Waveguide Devices Using CMOS Fabrication Technology , 2010, IEEE Journal of Selected Topics in Quantum Electronics.

[28]  Wan-Gyu Lee,et al.  Silicon photonic temperature sensor employing a ring resonator manufactured using a standard CMOS process. , 2010, Optics express.

[29]  Alyssa B. Apsel,et al.  Leveraging Optical Technology in Future Bus-based Chip Multiprocessors , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[30]  Xi Chen,et al.  HERMES: A Hierarchical Broadcast-Based Silicon Photonic Interconnect for Scalable Many-Core Systems , 2014, ArXiv.

[31]  Jerome K. Butler,et al.  Design and characterization of 1.3-/spl mu/m AlGaInAs-InP multiple-quantum-well lasers , 2001 .

[32]  M. Morse,et al.  High speed silicon Mach-Zehnder modulator. , 2005, Optics express.

[33]  D. Fattal,et al.  Fabrication variations in SOI microrings for DWDM networks , 2010, 7th IEEE International Conference on Group IV Photonics.

[34]  Hugo Thienpont,et al.  Low-Power Reconfigurable Network Architecture for On-Chip Photonic Interconnects , 2009, 2009 17th IEEE Symposium on High Performance Interconnects.

[35]  Koji Yamada,et al.  LSI On-Chip Optical Interconnection with Si Nano-Photonics , 2008, IEICE Trans. Electron..

[36]  John Kim,et al.  FeatherWeight: Low-cost optical arbitration with QoS support , 2011, 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[37]  Christopher Batten,et al.  Silicon-photonic clos networks for global on-chip communication , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[38]  George Kurian,et al.  ATAC: A 1000-core cache-coherent processor with on-chip optical network , 2010, 2010 19th International Conference on Parallel Architectures and Compilation Techniques (PACT).

[39]  P. Kapur,et al.  Comparisons between electrical and optical interconnects for on-chip signaling , 2002, Proceedings of the IEEE 2002 International Interconnect Technology Conference (Cat. No.02EX519).

[40]  A. Biberman,et al.  An ultralow power athermal silicon modulator , 2014, Nature Communications.

[41]  Rajeev J. Ram,et al.  Single-chip microprocessor that communicates directly using light , 2015, Nature.

[42]  Josep Torrellas,et al.  Flexible Snooping: Adaptive Forwarding and Filtering of Snoops in Embedded-Ring Multiprocessors , 2006, 33rd International Symposium on Computer Architecture (ISCA'06).

[43]  M Izutsu,et al.  Operation mechanism of the single-mode optical-waveguide Y junction. , 1982, Optics letters.

[44]  Xiaowen Wu,et al.  SUOR: Sectioned Undirectional Optical Ring for Chip Multiprocessor , 2014, JETC.

[45]  Li Zhou,et al.  PROBE: Prediction-based optical bandwidth scaling for energy-efficient NoCs , 2013, 2013 Seventh IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[46]  S. Borkar,et al.  An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS , 2008, IEEE Journal of Solid-State Circuits.

[47]  M. Watts,et al.  Silicon photonics manufacturing. , 2010, Optics express.

[48]  F.J. Leonberger,et al.  Optical interconnections for VLSI systems , 1984, Proceedings of the IEEE.

[49]  Kazuo Hagimoto,et al.  40 Gbit/s high sensitivity optical receiver with uni-travelling-carrier photodiode acting as decision IC driver , 1998 .

[50]  I. Day,et al.  Optical dispersion, two-photon absorption and self-phase modulation in silicon waveguides at 1.5 μm wavelength , 2002 .

[51]  Nikolaos Hardavellas,et al.  Galaxy: a high-performance energy-efficient multi-chip architecture using photonic interconnects , 2014, ICS '14.

[52]  F. Ellinger,et al.  A 100-mW 4/spl times/10 Gb/s transceiver in 80-nm CMOS for high-density optical interconnects , 2005, IEEE Journal of Solid-State Circuits.

[53]  M. Lipson,et al.  Nanotaper for compact mode conversion. , 2003, Optics letters.

[54]  R. Soref,et al.  Electrooptical effects in silicon , 1987 .

[55]  Smruti R. Sarangi,et al.  OptiKit : An Open Source Kit for Simulation of On-Chip Optical Components , 2013 .

[56]  Smruti R. Sarangi,et al.  ColdBus: A Near-Optimal Power Efficient Optical Bus , 2015, 2015 IEEE 22nd International Conference on High Performance Computing (HiPC).

[57]  Luca P. Carloni,et al.  Photonic NoC for DMA Communications in Chip Multiprocessors , 2007, 15th Annual IEEE Symposium on High-Performance Interconnects (HOTI 2007).

[58]  Leonid Oliker,et al.  Analysis of photonic networks for a chip multiprocessor using scientific applications , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[59]  Lei Zhang,et al.  A Hybrid Optoelectronic Networks-on-Chip Architecture , 2014, Journal of Lightwave Technology.

[60]  A. Enard,et al.  High-Power, Low RIN 1.55-$\mu{\rm m}$ Directly Modulated DFB Lasers for Analog Signal Transmission , 2012, IEEE Photonics Technology Letters.

[61]  Christopher Batten,et al.  Designing multi-socket systems using silicon photonics , 2009, ICS.

[62]  Luca P. Carloni,et al.  Design Exploration of Optical Interconnection Networks for Chip Multiprocessors , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[63]  Herbert J. Shaw,et al.  Single-mode fibre optic directional coupler , 1980 .

[64]  B M A Rahman,et al.  Numerical analysis of bent waveguides: bending loss, transmission loss, mode coupling, and polarization coupling. , 2008, Applied optics.

[65]  A. Enard,et al.  High-Power Tunable Dilute Mode DFB Laser With Low RIN and Narrow Linewidth , 2013, IEEE Photonics Technology Letters.

[66]  Wei Zhang,et al.  A Torus-Based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip , 2012, JETC.

[67]  W. Hofmann,et al.  InP-Based Long-Wavelength VCSELs and VCSEL Arrays , 2009, IEEE Journal of Selected Topics in Quantum Electronics.

[68]  Avinash Karanth Kodi,et al.  Power-Efficient and High-Performance Multi-level Hybrid Nanophotonic Interconnect for Multicores , 2010, 2010 Fourth ACM/IEEE International Symposium on Networks-on-Chip.

[69]  A. Yariv,et al.  Channel optical waveguide directional couplers , 1973 .

[70]  Smruti R. Sarangi,et al.  POSTER: BigBus: A Scalable Optical Interconnect , 2017, 2017 26th International Conference on Parallel Architectures and Compilation Techniques (PACT).

[71]  Huaxi Gu,et al.  RPNoC: A Ring-Based Packet-Switched Optical Network-on-Chip , 2015, IEEE Photonics Technology Letters.

[72]  Ichiro Ogura,et al.  Room‐temperature lasing operation of a quantum‐dot vertical‐cavity surface‐emitting laser , 1996 .

[73]  R. Baets,et al.  Trimming of silicon ring resonator by electron beam induced compaction and strain. , 2008, Optics express.

[74]  H. Jonathan Chao,et al.  BLOCON: A Bufferless Photonic Clos network-on-chip architecture , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[75]  Luca P. Carloni,et al.  Photonic Network Architectures II: Wavelength Arbitration and Routing , 2014 .

[76]  Jie Sun,et al.  Nanophotonic integration in state-of-the-art CMOS foundries. , 2011, Optics express.

[77]  Andrea Melloni,et al.  Backscatter in integrated optical waveguides and circuits , 2009, OPTO.

[78]  Rami G. Melhem,et al.  BandArb: mitigating the effects of thermal and process variations in silicon-photonic network , 2015, Conf. Computing Frontiers.

[79]  C.L. Schow,et al.  Ge-on-SOI-Detector/Si-CMOS-Amplifier Receivers for High-Performance Optical-Communication Applications , 2007, Journal of Lightwave Technology.

[80]  H. Thacker,et al.  Exploiting CMOS Manufacturing to Reduce Tuning Requirements for Resonant Optical Devices , 2011, IEEE Photonics Journal.

[81]  L. Arthur D'Asaro,et al.  Progress in high-power high-efficiency VCSEL arrays , 2009, OPTO.

[82]  Sebastian Werner,et al.  Designing Low-Power, Low-Latency Networks-on-Chip by Optimally Combining Electrical and Optical Links , 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

[83]  Reduction methods for adapting optical network on chip topologies to specific routing applications , 2007 .

[84]  Anatole Lupu,et al.  Comparison between strip and rib SOI microwaveguides for intra-chip light distribution , 2005 .

[85]  David Wentzlaff,et al.  Processor: A 64-Core SoC with Mesh Interconnect , 2010 .

[86]  A Syrbu,et al.  10 Gbps VCSELs with High Single Mode Output in 1310nm and 1550 nm Wavelength Bands , 2008, OFC/NFOEC 2008 - 2008 Conference on Optical Fiber Communication/National Fiber Optic Engineers Conference.

[87]  John Kim,et al.  FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar , 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

[88]  Kevin Skadron,et al.  Temperature-aware microarchitecture: Modeling and implementation , 2004, TACO.

[89]  Andrea Bianco,et al.  Optical interconnection networks based on microring resonators , 2012, IEEE/OSA Journal of Optical Communications and Networking.

[90]  Y. Kokubun,et al.  Wide range center wavelength trimming of vertically coupled microring resonator filter by direct UV irradiation to SiN ring core , 2004, IEEE Photonics Technology Letters.

[91]  Partha Pratim Pande,et al.  Networks-on-Chip in a Three-Dimensional Environment: A Performance Evaluation , 2009, IEEE Transactions on Computers.

[92]  M. Humphrey Calculation of coupling between tapered fiber modes and whispering-gallery modes of a spherical microlaser , 2004 .

[93]  B. Jalali,et al.  Silicon Photonics , 2006, Journal of Lightwave Technology.

[94]  Ashok V. Krishnamoorthy Photonics-to-electronics integration for optical interconnects in the early 21st century , 2006, International Commission for Optics.

[95]  Zhe Wang,et al.  System-Level Modeling and Analysis of Thermal Effects in WDM-Based Optical Networks-on-Chip , 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[96]  R. Michalzik,et al.  Operating Principles of VCSELs , 2003 .

[97]  Yu Zhang,et al.  Firefly: illuminating future network-on-chip with nanophotonics , 2009, ISCA '09.

[98]  Smruti R. Sarangi,et al.  Active microring based tunable optical power splitters , 2016 .

[99]  Ultra-high power, low RIN and narrow linewidth lasers for 1550nm DWDM 100km long-haul fiber optic link , 2008, LEOS 2008 - 21st Annual Meeting of the IEEE Lasers and Electro-Optics Society.

[100]  F. Gan,et al.  CMOS-Compatible All-Si High-Speed Waveguide Photodiodes With High Responsivity in Near-Infrared Communication Band , 2007, IEEE Photonics Technology Letters.

[101]  Mikko H. Lipasti,et al.  Light speed arbitration and flow control for nanophotonic interconnects , 2009, 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[102]  K. Bergman,et al.  High-Performance Modulators and Switches for Silicon Photonic Networks-on-Chip , 2010, IEEE Journal of Selected Topics in Quantum Electronics.

[103]  Sudeep Pasricha,et al.  Exploring hybrid photonic networks-on-chip foremerging chip multiprocessors , 2009, CODES+ISSS '09.

[104]  K. Iga,et al.  Vertical-Cavity Surface-Emitting Laser: Introduction and Review , 2003 .

[105]  Alan Y. Liu,et al.  Impact of fabrication non-uniformity on chip-scale silicon photonic integrated circuits , 2014 .

[106]  Mikko H. Lipasti,et al.  Wavelength stealing: An opportunistic approach to channel sharing in multi-chip photonic interconnects , 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[107]  Rami G. Melhem,et al.  Tolerating process variations in nanophotonic on-chip networks , 2012, 2012 39th Annual International Symposium on Computer Architecture (ISCA).

[108]  M.J. Kobrinsky,et al.  Comparisons of conventional, 3-D, optical, and RF interconnects for on-chip clock distribution , 2004, IEEE Transactions on Electron Devices.

[109]  Wei Zhang,et al.  Modeling and Analysis of Thermal Effects in Optical Networks-on-Chip , 2011, 2011 IEEE Computer Society Annual Symposium on VLSI.

[110]  Cheng Li,et al.  Nano-Photonic Networks-on-Chip for Future Chip Multiprocessors , 2015 .

[111]  David A. B. Miller,et al.  Device Requirements for Optical Interconnects to Silicon Chips , 2009, Proceedings of the IEEE.

[112]  Smruti R. Sarangi,et al.  BigBus: A Scalable Optical Interconnect , 2019, ACM J. Emerg. Technol. Comput. Syst..

[113]  Smruti R. Sarangi,et al.  Optimal Power Efficient Photonic SWMR Buses , 2015, 2015 Workshop on Exploiting Silicon Photonics for Energy-Efficient High Performance Computing.

[114]  Smruti R. Sarangi,et al.  A Generic Implementation of Barriers Using Optical Interconnects , 2016, 2016 29th International Conference on VLSI Design and 2016 15th International Conference on Embedded Systems (VLSID).

[115]  José F. Martínez,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS XV.

[116]  Lei Zhang,et al.  On a Scalable, Non-Blocking Optical Router for Photonic Networks-on-Chip Designs , 2011, 2011 Symposium on Photonics and Optoelectronics (SOPO).

[117]  Ashok V. Krishnamoorthy,et al.  Computer Systems Based on Silicon Photonic Interconnects A proposed supercomputer-on-a-chip with optical interconnections between processing elements will require development of new lower-energy optical components and new circuit architectures that match electrical datapaths to complementary optical , 2009 .

[118]  Yuan Xie,et al.  3D optical networks-on-chip (NoC) for multiprocessor systems-on-chip (MPSoC) , 2009, 2009 IEEE International Conference on 3D System Integration.

[119]  Peng Liu,et al.  An intra-chip free-space optical interconnect , 2010, ISCA.

[120]  High-performance silicon-based multiple wavelength source , 2011, CLEO: 2011 - Laser Science to Photonic Applications.

[121]  P. Dumon,et al.  Silicon microring resonators , 2012 .

[122]  Elyahou Kapon,et al.  10 Gbps VCSELs with High Single Mode Output in 1310 nm and 1550 nm Bands , 2008 .

[123]  H. Li,et al.  Vertical-cavity surface-emitting laser devices , 2003 .

[124]  Sunao Torii,et al.  On-Chip Optical Interconnect , 2009, Proceedings of the IEEE.

[125]  Nevin Kirman,et al.  A power-efficient all-optical on-chip interconnect using wavelength-based oblivious routing , 2010, ASPLOS 2010.

[126]  Ashok V. Krishnamoorthy,et al.  Silicon-photonic network architectures for scalable, power-efficient multi-chip systems , 2010, ISCA '10.

[127]  Ahmed Louri,et al.  Dynamic Reconfiguration of 3D Photonic Networks-on-Chip for Maximizing Performance and Improving Fault Tolerance , 2012, 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture.

[128]  Zheng Wang,et al.  A novel optical mesh network-on-chip for gigascale systems-on-chip , 2008, APCCAS 2008 - 2008 IEEE Asia Pacific Conference on Circuits and Systems.

[129]  Luca P. Carloni,et al.  Photonic Networks-on-Chip for Future Generations of Chip Multiprocessors , 2008, IEEE Transactions on Computers.

[130]  Hiroyoshi Yajima,et al.  Dielectric thin‐film optical branching waveguide , 1973 .

[131]  Wei Zhang,et al.  3-D Mesh-Based Optical Network-on-Chip for Multiprocessor System-on-Chip , 2013, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[132]  Natalie D. Enright Jerger,et al.  QuT: A low-power optical Network-on-Chip , 2014, 2014 Eighth IEEE/ACM International Symposium on Networks-on-Chip (NoCS).

[133]  M. Lipson,et al.  Low-power-consumption short-length and high-modulation-depth silicon electrooptic modulator , 2003 .

[134]  Guo-Qiang Lo,et al.  3D electro-optical integration based on high-performance Si photonics TSV interposer , 2016, 2016 Optical Fiber Communications Conference and Exhibition (OFC).

[135]  Christopher Batten,et al.  Building Manycore Processor-to-DRAM Networks with Monolithic Silicon Photonics , 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

[136]  Avinash Karanth Kodi,et al.  Exploring the Design of 64- and 256-Core Power Efficient Nanophotonic Interconnect , 2010, IEEE Journal of Selected Topics in Quantum Electronics.

[137]  P. Pagnod-Rossiaux,et al.  Ultra high power, ultra low RIN up to 20 GHz 1.55 μm DFB AlGaInAsP laser for analog applications , 2010, OPTO.

[138]  Wim Bogaerts,et al.  Design Challenges in Silicon Photonics , 2014, IEEE Journal of Selected Topics in Quantum Electronics.

[139]  L. Shang,et al.  Process variation in silicon photonic devices. , 2013, Applied optics.

[140]  Ahmed Louri,et al.  OWN: Optical and Wireless Network-on-Chip for Kilo-core Architectures , 2015, 2015 IEEE 23rd Annual Symposium on High-Performance Interconnects.

[141]  L. Chrostowski,et al.  Impact of fabrication non-uniformity on chip-scale silicon photonic integrated circuits , 2014, OFC 2014.

[142]  Huawei Li,et al.  Accelerating Lightpath setup via broadcasting in binary-tree waveguide in Optical NoCs , 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

[143]  Jerry R. Meyer,et al.  Type‐II quantum‐well lasers for the mid‐wavelength infrared , 1995 .

[144]  Tao Li,et al.  ESPN: A case for energy-star photonic on-chip network , 2013, International Symposium on Low Power Electronics and Design (ISLPED).

[145]  Rami G. Melhem,et al.  Channel borrowing: an energy-efficient nanophotonic crossbar architecture with light-weight arbitration , 2012, ICS '12.

[146]  N. Shlayan,et al.  Review of Packet Switching Technologies for Future NoC , 2008, 2008 19th International Conference on Systems Engineering.

[147]  W. Dally,et al.  Route packets, not wires: on-chip interconnection networks , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[148]  Davide Bertozzi,et al.  Contrasting wavelength-routed optical NoC topologies for power-efficient 3d-stacked multicore processors using physical-layer analysis , 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[149]  Manijeh Razeghi,et al.  Room temperature quantum cascade lasers with 27% wall plug efficiency , 2011 .

[150]  K. Bergman,et al.  Photonic interconnection network architectures using wavelength-selective spatial routing for chip-scale communications , 2012, IEEE/OSA Journal of Optical Communications and Networking.

[151]  Paragkumar Thadesar,et al.  Electrical, optical and fluidic through-silicon vias for silicon interposer applications , 2011, 2011 IEEE 61st Electronic Components and Technology Conference (ECTC).

[152]  Hui Chen,et al.  On-Chip Optical Interconnect Roadmap: Challenges and Critical Directions , 2005, IEEE Journal of Selected Topics in Quantum Electronics.

[153]  Gilbert Hendry,et al.  Architectural design exploration of chip-scale photonic interconnection networks using physical-layer analysis , 2010, 2010 Conference on Optical Fiber Communication (OFC/NFOEC), collocated National Fiber Optic Engineers Conference.

[154]  Ian O'Connor,et al.  Optical Ring Network-on-Chip (ORNoC): Architecture and design methodology , 2011, 2011 Design, Automation & Test in Europe.