Efficient multicast schemes for 3-D Networks-on-Chip

3-D Networks-on-Chip (NoCs) have been proposed as a potent solution to address both the interconnection and design complexity problems facing future System-on-Chip (SoC) designs. In this paper, two topology-aware multicast routing algorithms, Multicasting XYZ (MXYZ) and Alternative XYZ (AL+XYZ) algorithms in supporting of 3-D NoC are proposed. In essence, MXYZ is a simple dimension order multicast routing algorithm that targets 3-D NoC systems built upon regular topologies. To support multicast routing in irregular regions, AL+XYZ can be applied, where an alternative output channel is sought to forward/replicate the packets whenever the output channel determined by MXYZ is not available. To evaluate the performance of MXYZ and AL+XYZ, extensive experiments have been conducted by comparing MXYZ and AL+XYZ against a path-based multicast routing algorithm and an irregular region oriented multiple unicast routing algorithm, respectively. The experimental results confirm that the proposed MXYZ and AL+XYZ schemes, respectively, have lower latency and power consumption than the other two routing algorithms, meriting the two proposed algorithms to be more suitable for supporting multicasting in 3-D NoC systems. In addition, the hardware implementation cost of AL+XYZ is shown to be quite modest.

[1]  Hideharu Amano,et al.  Tightly-Coupled Multi-Layer Topologies for 3-D NoCs , 2007, 2007 International Conference on Parallel Processing (ICPP 2007).

[2]  Eby G. Friedman,et al.  3-D Topologies for Networks-on-Chip , 2006, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[3]  José Duato,et al.  Efficient unicast and multicast support for CMPs , 2008, 2008 41st IEEE/ACM International Symposium on Microarchitecture.

[4]  Peng Liu,et al.  An intra-chip free-space optical interconnect , 2010, ISCA.

[5]  Prasant Mohapatra,et al.  Asynchronous Tree-Based Multicasting in Wormhole-Switched MINs , 1999, IEEE Trans. Parallel Distributed Syst..

[6]  Radu Marculescu,et al.  User-Aware Dynamic Task Allocation in Networks-on-Chip , 2008, 2008 Design, Automation and Test in Europe.

[7]  G FriedmanEby,et al.  3-D topologies for networks-on-chip , 2007 .

[8]  Hyungjun Kim,et al.  Recursive partitioning multicast: A bandwidth-efficient routing for Networks-on-Chip , 2009, 2009 3rd ACM/IEEE International Symposium on Networks-on-Chip.

[9]  Milo M. K. Martin,et al.  Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset , 2005, CARN.

[10]  Henry Hoffmann,et al.  The Raw Microprocessor: A Computational Fabric for Software Circuits and General-Purpose Programs , 2002, IEEE Micro.

[11]  Manfred Glesner,et al.  Planar Adaptive Router Microarchitecture for Tree-Based Multicast Network-on-Chip , 2008 .

[12]  Sarita V. Adve,et al.  The impact of technology scaling on lifetime reliability , 2004, International Conference on Dependable Systems and Networks, 2004.

[13]  Radu Marculescu,et al.  Energy- and Performance-Aware Incremental Mapping for Networks on Chip With Multiple Voltage Levels , 2008, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[14]  Valentin Puente,et al.  MRR: Enabling fully adaptive multicast routing for CMP interconnection networks , 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

[15]  Natalie D. Enright Jerger,et al.  Virtual Circuit Tree Multicasting: A Case for On-Chip Hardware Multicast Support , 2008, 2008 International Symposium on Computer Architecture.

[16]  Andrew B. Kahng,et al.  ORION 2.0: A fast and accurate NoC power and area model for early-stage design space exploration , 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

[17]  Anoop Gupta,et al.  SPLASH: Stanford parallel applications for shared-memory , 1992, CARN.

[18]  Yingtao Jiang,et al.  On an efficient NoC multicasting scheme in support of multiple applications running on irregular sub-networks , 2011, Microprocess. Microsystems.

[19]  Kees G. W. Goossens,et al.  Efficient Multicast Support in Buffered Crossbars using Networks on Chip , 2009, GLOBECOM 2009 - 2009 IEEE Global Telecommunications Conference.

[20]  Sudhakar Yalamanchili,et al.  Interconnection Networks: An Engineering Approach , 2002 .

[21]  Hannu Tenhunen,et al.  Exploring partitioning methods for 3D Networks-on-Chip utilizing adaptive routing model , 2011, Proceedings of the Fifth ACM/IEEE International Symposium.

[22]  Coniferous softwood GENERAL TERMS , 2003 .

[23]  Axel Jantsch,et al.  Connection-oriented multicasting in wormhole-switched networks on chip , 2006, IEEE Computer Society Annual Symposium on Emerging VLSI Technologies and Architectures (ISVLSI'06).

[24]  Michael C. Huang,et al.  Power-Aware Run-Time Incremental Mapping for 3-D Networks-on-Chip , 2011, NPC.

[25]  Hannu Tenhunen,et al.  Partitioning methods for unicast/multicast traffic in 3D NoC architecture , 2010, 13th IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems.

[26]  Srinivasan Murali,et al.  Designing Reliable and Efficient Networks on Chips , 2009, Lecture Notes in Electrical Engineering.

[27]  Li Shang,et al.  In-Network Cache Coherence , 2006, 2006 39th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO'06).

[28]  José Duato,et al.  Region-Based Routing: A Mechanism to Support Efficient Routing Algorithms in NoCs , 2009 .

[29]  José L. Sánchez,et al.  Virtualizing network-on-chip resources in chip-multiprocessors , 2011, Microprocess. Microsystems.

[30]  Michael C. Huang,et al.  Low latency and energy efficient multicasting schemes for 3D NoC-based SoCs , 2011, 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip.

[31]  Jian Xu,et al.  Demystifying 3D ICs: the pros and cons of going vertical , 2005, IEEE Design & Test of Computers.

[32]  Yingtao Jiang,et al.  Efficient multicasting scheme for irregular mesh-based NoCs , 2010, 23rd IEEE International SOC Conference.

[33]  Mikko H. Lipasti,et al.  Precise and Accurate Processor Simulation , 2002 .