Jingwen Leng

发表

Zhouhan Lin, Jingwen Leng, Yue Guan, 2022, ACL.

Pradip Bose, Jingwen Leng, Vijay Janapa Reddi, 2021, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Zhouhan Lin, Yuhao Zhu, Jingwen Leng, 2021, AAAI.

Fan Yang, Jingwen Leng, Minyi Guo, 2022, 2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO).

Fan Yang, F. Yang, Jingwen Leng, 2022, 2022 IEEE 40th International Conference on Computer Design (ICCD).

Jingwen Leng, Yuyue Wang, Liancheng Jia, 2022, DAC.

Christopher Gill, Xin He, Vijay Janapa Reddi, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Quan Chen, Minyi Guo, Deze Zeng, 2020, 2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Minyi Guo, Jingwen Leng, Yuhao Zhu, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Minyi Guo, Quan Chen, Li Li, 2019, 2019 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Quan Chen, M. Guo, Jingwen Leng, 2021, SC21: International Conference for High Performance Computing, Networking, Storage and Analysis.

Jingwen Leng, Yuhao Zhu, Yiming Gan, 2020, PACT.

Minyi Guo, Quan Chen, Pradip Bose, 2020, 2020 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Yang Wang, Yunxin Liu, Jingwen Leng, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Minyi Guo, Jingwen Leng, Yuhao Zhu, 2021, 2021 IEEE International Symposium on Workload Characterization (IISWC).

Minyi Guo, Jingwen Leng, Yuhao Zhu, 2020, SC20: International Conference for High Performance Computing, Networking, Storage and Analysis.

Nam Sung Kim, Jingwen Leng, Vijay Janapa Reddi, 2013, ISCA.

Minyi Guo, Chao Li, Jingwen Leng, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Quan Chen, Jingwen Leng, Li Li, 2019, 2019 IEEE 37th International Conference on Computer Design (ICCD).

Minyi Guo, Quan Chen, Chao Li, 2019, 2019 IEEE/CVF Conference on Computer Vision and Pattern Recognition (CVPR).

Minyi Guo, Quan Chen, Weinan Zhang, 2020, Frontiers of Computer Science.

Minyi Guo, Shaolei Ren, Jingwen Leng, 2021, 2021 IEEE International Parallel and Distributed Processing Symposium (IPDPS).

Youtao Zhang, Quan Chen, C. Li, 2022, 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Minyi Guo, Jieru Zhao, Jingwen Leng, 2021, 2021 IEEE 39th International Conference on Computer Design (ICCD).

Deze Zeng, Quan Chen, M. Guo, 2020, 2020 IEEE 40th International Conference on Distributed Computing Systems (ICDCS).

Pradip Bose, Jingwen Leng, Vijay Janapa Reddi, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Mattan Erez, Jingwen Leng, Michael B. Sullivan, 2013, 2013 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Minyi Guo, Jingwen Leng, Quan Chen, 2021, 2021 30th International Conference on Parallel Architectures and Compilation Techniques (PACT).

Behzad Salami, Dimitris Gizopoulos, Jingwen Leng, 2020, IEEE Transactions on Device and Materials Reliability.

Jingwen Leng, Vijay Janapa Reddi, Charles Lefurgy, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Minyi Guo, Chao Li, Jingwen Leng, 2020, IEEE Computer Architecture Letters.

Deze Zeng, Quan Chen, Bo Liu, 2023, International Conference on Supercomputing.

Dahua Lin, Shengen Yan, Yun Liang, 2023, 2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Minyi Guo, Li Li, Jingwen Leng, 2020, 2020 IEEE Intl Conf on Parallel & Distributed Processing with Applications, Big Data & Cloud Computing, Sustainable Computing & Communications, Social Computing & Networking (ISPA/BDCloud/SocialCom/SustainCom).

Minyi Guo, Quan Chen, Chenhui Wang, 2020, CCF Transactions on High Performance Computing.

Jingwen Leng, Zhanda Zhu, Minyi Guo, 2022, 2208.11945.

Quan Chen, Minyi Guo, Han Zhao, 2018, ACM Trans. Archit. Code Optim..

C. Gill, V. Reddi, Xuan Zhang, 2021, ACM Trans. Archit. Code Optim..

Jingwen Leng, Vijay Janapa Reddi, Yazhou Zu, 2015, 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA).

Meeta Sharma Gupta, Jingwen Leng, Vijay Janapa Reddi, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Jingwen Leng, Alper Buyuktosunoglu, Pradip Bose, 2019, IEEE Computer Architecture Letters.

Minyi Guo, Weigong Zhang, Jing Wang, 2019, IEEE Transactions on Computers.

P. Whatmough, Shaoshan Liu, Bo Yu, 2022, 2022 IEEE 33rd International Symposium on Software Reliability Engineering (ISSRE).

Jingwen Leng, Minyi Guo, Cong Guo, 2022, 2022 18th International Conference on Mobility, Sensing and Networking (MSN).

Jingwen Leng, Cheng Xu, Yang Hu, 2024, 2024 ACM/IEEE 51st Annual International Symposium on Computer Architecture (ISCA).