Chung-Kuan Cheng

发表

Chia-Chun Tsai, De-Yu Kao, Chung-Kuan Cheng, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Tzyy-Ping Jung, Yu-Te Wang, Yijun Wang, 2013, 2013 International Conference on Communications, Circuits and Systems (ICCCAS).

Jianhua Liu, Chung-Kuan Cheng, Michael Chang, 2004, FPGA '04.

Chung-Kuan Cheng, Renshen Wang, Rui Shi, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

Chung-Kuan Cheng, Sao-Jie Chen, Chung-Kuan Cheng, 2000, VLSI Design.

Ling Zhang, Wenjian Yu, Xiang Hu, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Quan Chen, Chung-Kuan Cheng, Shih-Hung Weng, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jin Xu, Chung-Kuan Cheng, Pei-Ning Guo, 1997, DAC.

Yici Cai, Jun Gu, Sheqin Dong, 2001, Integr..

Tzyy-Ping Jung, Yu-Te Wang, Yijun Wang, 2013, 2013 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Chung-Kuan Cheng, Dongwon Park, Ilgweon Kang, 2018, 2018 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Chung-Kuan Cheng, Jianmin Li, IV RobertC.Carden, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Xiang Hu, Chung-Kuan Cheng, Peng Du, 2010, 19th Topical Meeting on Electrical Performance of Electronic Packaging and Systems.

Chung-Kuan Cheng, Bo Yao, Nan-Chi Chou, 2005, ISPD '05.

Chung-Kuan Cheng, Nan-Chi Chou, Walter H. Ku, 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

Yi Zhu, Wenjian Yu, Rui Shi, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Chung-Kuan Cheng, Nan-Chi Chou, Lung-Tien Liu, 1994, 31st Design Automation Conference.

Chung-Kuan Cheng, Chung-Kuan Cheng, 1992, Networks.

Evangeline F. Y. Young, Ronald L. Graham, Chung-Kuan Cheng, 2011, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chung-Kuan Cheng, Ting-Ting Y. Lin, John Lillis, 1996, Proceedings of the Sixth Great Lakes Symposium on VLSI.

Yi Zhu, Chung-Kuan Cheng, Haikun Zhu, 2007, 2007 Asia and South Pacific Design Automation Conference.

Xiang Hu, Chung-Kuan Cheng, Riko Radojcic, 2010, 2010 IEEE International 3D Systems Integration Conference (3DIC).

Quan Chen, Lijun Jiang, Ngai Wong, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Chung-Kuan Cheng, John Lillis, Fang-Jou Liu, 1997, Proceedings of 1997 IEEE International Symposium on Circuits and Systems. Circuits and Systems in the Information Age ISCAS '97.

Chung-Kuan Cheng, Lung-Tien Liu, Ming-Ter Kuo, 1996, DAC '96.

Chung-Kuan Cheng, Wanping Zhang, 2009 .

Chung-Kuan Cheng, A. Deutsch, G. Katopis, 2007, 2007 IEEE Electrical Performance of Electronic Packaging.

Chung-Kuan Cheng, 2009, 2009 19th IEEE Symposium on Computer Arithmetic.

Yi Zhu, Scott B. Baden, Chung-Kuan Cheng, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Chung-Kuan Cheng, Ernest S. Kuh, Manjit Borah, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Yici Cai, Jun Gu, Sheqin Dong, 2003, ASP-DAC '03.

Chung-Kuan Cheng, Bill Lin, Sicun Gao, 2019, ISPD.

Andrew B. Kahng, Chung-Kuan Cheng, Ilgweon Kang, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Jin Xu, Chung-Kuan Cheng, Pei-Ning Guo, 1998, ISPD '98.

Quan Chen, Chung-Kuan Cheng, Shih-Hung Weng, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chung-Kuan Cheng, T. C. Hu, So-Zen Yao, 1991, IEEE Trans. Computers.

Chung-Kuan Cheng, Pei-Ning Guo, T. Takahashi, 2002 .

Andrew B. Kahng, Chung-Kuan Cheng, Dirk Stroobandt, 2002, IEEE Trans. Very Large Scale Integr. Syst..

Yici Cai, Jun Gu, Sheqin Dong, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Chung-Kuan Cheng, Peng Du, Shih-Hung Weng, 2011, 2011 IEEE International Symposium of Circuits and Systems (ISCAS).

Ronald L. Graham, Chung-Kuan Cheng, Bo Yao, 2003, TODE.

Chung-Kuan Cheng, Hao Zhuang, Shih-Hung Weng, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Chung-Kuan Cheng, Lung-Tien Liu, Ming-Ter Kuo, 1995, ICCAD.

Tzyy-Ping Jung, Yu-Te Wang, Yijun Wang, 2012, 2012 IEEE Biomedical Circuits and Systems Conference (BioCAS).

Chung-Kuan Cheng, Yuh-Zen Liao, So-Zen Yao, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chung-Kuan Cheng, Chun-Chen Liu, Haikun Zhu, 2007, 2007 25th International Conference on Computer Design.

Chung-Kuan Cheng, Ming-Ter Kuo, 1997, DAC.

Yici Cai, Jun Gu, Sheqin Dong, 2004, IEEE Transactions on Circuits and Systems II: Express Briefs.

Yici Cai, Jun Gu, Sheqin Dong, 2007, Science in China Series F: Information Sciences.

Yang Liu, Chung-Kuan Cheng, Xiang Zhang, 2013, 2013 IEEE 22nd Conference on Electrical Performance of Electronic Packaging and Systems.

Jianhua Liu, Chung-Kuan Cheng, John Lillis, 2007, 2007 Asia and South Pacific Design Automation Conference.

Chung-Kuan Cheng, Huoy-Yu Liou, T.-T.Y. Lin, 1994, Proceedings Seventh Annual IEEE International ASIC Conference and Exhibit.

Yici Cai, Jun Gu, Sheqin Dong, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Ngai Wong, Andrew B. Kahng, Chung-Kuan Cheng, 2011, ISPD '11.

Chung-Kuan Cheng, John Lillis, Chung-Kuan Cheng, 1997, DAC 1997.

Xiaoming Chen, Wenjian Yu, Xiang Hu, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Chung-Kuan Cheng, Haikun Zhu, R. Graham, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Chung-Kuan Cheng, Zhanhai Qin, Chung-Kuan Cheng, 2003, DAC.

Chung-Kuan Cheng, Paul M. Chau, Takeo Hamada, 1992, DAC '92.

Chung-Kuan Cheng, Lung-Tien Liu, Ting-Ting Lin, 1994, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.

Yici Cai, Jun Gu, Sheqin Dong, 2002, Proceedings of ASP-DAC/VLSI Design 2002. 7th Asia and South Pacific Design Automation Conference and 15h International Conference on VLSI Design.

Chung-Kuan Cheng, James F. Buckwalter, Yulei Zhang, 2009, 2009 IEEE 18th Conference on Electrical Performance of Electronic Packaging and Systems.

Chung-Kuan Cheng, Jae Chung, 1994, Proceedings Seventh Annual IEEE International ASIC Conference and Exhibit.

Satoshi Goto, Sheqin Dong, Chung-Kuan Cheng, 2010, GLSVLSI '10.

Rui Shi, Chung-Kuan Cheng, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Chung-Kuan Cheng, Jonathan Dufour, Robert McBride, 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.

Tzyy-Ping Jung, Yi Zhu, Chung-Kuan Cheng, 2008, IEEE Transactions on Biomedical Engineering.

Chung-Kuan Cheng, Yulei Zhang, A. Deutsch, 2008, 2008 IEEE-EPEP Electrical Performance of Electronic Packaging.

Chung-Kuan Cheng, Bo Yao, Zhou Feng, 2004 .

Tzong-Lin Wu, Chung-Kuan Cheng, Chiu-Chih Chou, 2012, 2012 IEEE 21st Conference on Electrical Performance of Electronic Packaging and Systems.

Yi Zhu, Scott B. Baden, Chung-Kuan Cheng, 2008, ICCAD 2008.

Ronald L. Graham, Chung-Kuan Cheng, Peng Du, 2012, 17th Asia and South Pacific Design Automation Conference.

Wenjian Yu, Xianlong Hong, Chung-Kuan Cheng, 2009, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Yi Zhu, Wenjian Yu, Chung-Kuan Cheng, 2009, 2009 Asia and South Pacific Design Automation Conference.

Chung-Kuan Cheng, John Lillis, Chung-Kuan Cheng, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chung-Kuan Cheng, James F. Buckwalter, Yulei Zhang, 2010, 19th Topical Meeting on Electrical Performance of Electronic Packaging and Systems.

Chung-Kuan Cheng, Nan-Chi Chou, T. C. Russell, 1994 .

Rui Shi, Chung-Kuan Cheng, Ernest S. Kuh, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Chung-Kuan Cheng, Hongyu Chen, Rui Shi, 2006, 2006 IEEE Electrical Performane of Electronic Packaging.

Wenjian Yu, Chung-Kuan Cheng, Hao Zhuang, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Chung-Kuan Cheng, Ting-Ting Y. Lin, Huoy-Yu Liou, 1996, DAC '96.

Chung-Kuan Cheng, Ilgweon Kang, Xinan Wang, 2015, 2015 IEEE Symposium on Electromagnetic Compatibility and Signal Integrity.

Ronald L. Graham, Chung-Kuan Cheng, Dongwon Park, 2018, ISPD.

Chung-Kuan Cheng, John Lillis, Chung-Kuan Cheng, 1996 .

Ling Zhang, Chung-Kuan Cheng, Bo Yao, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Masanori Hashimoto, Chung-Kuan Cheng, Akira Tsuchiya, 2007, 2007 IEEE Custom Integrated Circuits Conference.

Feng Zhou, Ronald L. Graham, Chung-Kuan Cheng, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Chung-Kuan Cheng, 2001, ASICON 2001. 2001 4th International Conference on ASIC Proceedings (Cat. No.01TH8549).

Ling Zhang, Wenjian Yu, Chung-Kuan Cheng, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Xiang Hu, Chung-Kuan Cheng, Peng Du, 2011, 2011 9th IEEE International Conference on ASIC.

Chung-Kuan Cheng, T. Hamada, P. M. Chau, 1991, 1991., IEEE International Sympoisum on Circuits and Systems.

Xiang Hu, Chung-Kuan Cheng, James F. Buckwalter, 2013, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Sheqin Dong, Xianlong Hong, Chung-Kuan Cheng, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Rui Shi, Chung-Kuan Cheng, Hongyu Chen, 2007, 2007 Asia and South Pacific Design Automation Conference.

Feng Zhou, Ronald L. Graham, Chung-Kuan Cheng, 2003, SLIP '03.

Chung-Kuan Cheng, John Lillis, Fang-Jou Liu, 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.

Andrew B. Kahng, Ion I. Mandoiu, Chung-Kuan Cheng, 2003, SLIP '03.

Chung-Kuan Cheng, Z. Wurman, Y.-C. Wei, 1991, 1991., IEEE International Sympoisum on Circuits and Systems.

Masanori Hashimoto, Ling Zhang, Chung-Kuan Cheng, 2008, 2008 IEEE International Conference on Computer Design.

Xiang Hu, Chung-Kuan Cheng, Peng Du, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xianlong Hong, Chung-Kuan Cheng, Ernest S. Kuh, 1993, 30th ACM/IEEE Design Automation Conference.

Yi Zhu, Chung-Kuan Cheng, Michael Bedford Taylor, 2007, 2007 25th International Conference on Computer Design.

Chung-Kuan Cheng, T. C. Hu, Chung-Kuan Cheng, 1992, Algorithmica.

Yi Zhu, Jianhua Liu, Chung-Kuan Cheng, 2008, 2008 Asia and South Pacific Design Automation Conference.

Andrew B. Kahng, Ion I. Mandoiu, Chung-Kuan Cheng, 2005, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jin Xu, Chung-Kuan Cheng, Pei-Ning Guo, 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chingwei Yeh, Chung-Kuan Cheng, Ting-Ting Y. Lin, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chung-Kuan Cheng, Lung-Tien Liu, Ming-Ter Kuo, 1995, Proceedings of ISCAS'95 - International Symposium on Circuits and Systems.

Tzong-Lin Wu, Chung-Kuan Cheng, Yu-Jen Cheng, 2013, IEEE Transactions on Components, Packaging and Manufacturing Technology.

Rui Shi, Chung-Kuan Cheng, Renshen Wang, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

Ling Zhang, Chung-Kuan Cheng, Ernest S. Kuh, 2009, 2009 10th International Symposium on Quality Electronic Design.

Tzyy-Ping Jung, Yu-Te Wang, Yijun Wang, 2012, 2012 Annual International Conference of the IEEE Engineering in Medicine and Biology Society.

Xiang Hu, Chung-Kuan Cheng, James F. Buckwalter, 2009, SLIP '09.

Yang Liu, Xiang Zhang, Chung-Kuan Cheng, 2015, 2015 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Quan Chen, Ngai Wong, Chung-Kuan Cheng, 2012, 10th IEEE International NEWCAS Conference.

Evangeline F. Y. Young, Yi Zhu, Fan Chung Graham, 2008, ISPD '08.

Xiang Hu, Chung-Kuan Cheng, James F. Buckwalter, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Jun Gu, Sheqin Dong, Xianlong Hong, 2004, Journal of Computer Science and Technology.

Xiaoming Chen, Xiang Hu, Chung-Kuan Cheng, 2009, 2009 IEEE International Conference on Computer Design.

Chung-Kuan Cheng, Ting-Ting Y. Lin, John Lillis, 1995, ICCAD.

Jianhua Liu, Shuo Zhou, Chung-Kuan Cheng, 2005, ASP-DAC.

Quan Chen, Chung-Kuan Cheng, Wim Schoenmaker, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chung-Kuan Cheng, Hongyu Chen, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Andrew A. Chien, Yi Zhu, Chung-Kuan Cheng, 2005, 2005 International Conference on Computer Design.

Chung-Kuan Cheng, T. C. Hu, Lung-Tien Liu, 1995, 32nd Design Automation Conference.

Yici Cai, Jun Gu, Sheqin Dong, 2000, IEEE APCCAS 2000. 2000 IEEE Asia-Pacific Conference on Circuits and Systems. Electronic Communication Systems. (Cat. No.00EX394).

Masahiro Fujita, Chung-Kuan Cheng, Ramamohan Paturi, 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chung-Kuan Cheng, Hao Zhuang, Pengwen Chen, 2015, ISPD.

Jun Gu, Sheqin Dong, Xianlong Hong, 2003, ASICON 2003.

Andrew B. Kahng, Chung-Kuan Cheng, Peng Du, 2012, ISPD '12.

Wenjian Yu, Xianlong Hong, Chung-Kuan Cheng, 2010, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Chung-Kuan Cheng, Yuwei Wang, Po-Ya Hsu, 2018, 2018 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Wenjian Yu, Xiang Hu, Chung-Kuan Cheng, 2011, 2011 9th IEEE International Conference on ASIC.

Takeshi Yoshimura, Chung-Kuan Cheng, Toshihiko Takahashi, 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yici Cai, Jun Gu, Sheqin Dong, 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

Jianhua Liu, Shuo Zhou, Chung-Kuan Cheng, 2003, ICCAD.

Chung-Kuan Cheng, John Lillis, T.-T.Y. Lin, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

De-Yu Kao, Chung-Kuan Cheng, Ting-Ting Y. Lin, 1995, ISLPED '95.

Yici Cai, Qiang Zhou, Zhuoyuan Li, 2006, IEEE Transactions on Circuits and Systems I: Regular Papers.

Jun Gu, Sheqin Dong, Xianlong Hong, 2006, Journal of Computer Science and Technology.

Chung-Kuan Cheng, Fang-Jou Liu, 1998, DAC.

Quan Chen, Ngai Wong, Chung-Kuan Cheng, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Masanori Hashimoto, Chung-Kuan Cheng, Akira Tsuchiya, 2008, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Ling Zhang, Chung-Kuan Cheng, Bo Yao, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chung-Kuan Cheng, Bo Yao, Zhou Feng, 2004, Handbook of Data Structures and Applications.

Chung-Kuan Cheng, Nan-Chi Chou, T. C. Hu, 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

Chung-Kuan Cheng, Chin-Chih Chang, Chin-Chi Teng, 2015, TODE.

Takeshi Yoshimura, Chung-Kuan Cheng, Yingxin Pang, 2000, ISPD '00.

Chung-Kuan Cheng, John Lillis, Jianmin Li, 1995, Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

Chung-Kuan Cheng, 2011, ISPD '11.

Lei He, Chung-Kuan Cheng, 2005, ISLPED '05.

Chingwei Yeh, Chung-Kuan Cheng, Ting-Ting Y. Lin, 1991, 28th ACM/IEEE Design Automation Conference.

Yi Zhu, Shuo Zhou, Ronald L. Graham, 2006, ICCAD.

Evangeline F. Y. Young, Ronald L. Graham, Chung-Kuan Cheng, 2016, 2016 IEEE International 3D Systems Integration Conference (3DIC).

Chung-Kuan Cheng, Ernest S. Kuh, 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chung-Kuan Cheng, John Lillis, 1999 .

Jun Gu, Sheqin Dong, Xianlong Hong, 2001, ASICON 2001. 2001 4th International Conference on ASIC Proceedings (Cat. No.01TH8549).

Shuo Zhou, Chung-Kuan Cheng, 2006 .

Sheqin Dong, Xianlong Hong, Chung-Kuan Cheng, 2006, IEEE Transactions on Circuits and Systems II: Express Briefs.

Chingwei Yeh, Chung-Kuan Cheng, T. C. Hu, 1994, IEEE Trans. Very Large Scale Integr. Syst..

Dongsheng Wang, Arunabha Sen, Chung-Kuan Cheng, 1999, Proceedings of the ASP-DAC '99 Asia and South Pacific Design Automation Conference 1999 (Cat. No.99EX198).

Evangeline F. Y. Young, Ronald L. Graham, Chung-Kuan Cheng, 2018, ACM Trans. Design Autom. Electr. Syst..

Ling Zhang, Wenjian Yu, Chung-Kuan Cheng, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Chung-Kuan Cheng, Zhengyong Zhu, E.S. Kuh, 2005, Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005..

Chingwei Yeh, Chung-Kuan Cheng, Ting-Ting Y. Lin, 1992, ICCAD.

Chung-Kuan Cheng, David N. Deutsch, Craig Shohara, 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chung-Kuan Cheng, Yen-Chuen A. Wei, Chung-Kuan Cheng, 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Chung-Kuan Cheng, Zhanhai Qin, 2003, ASP-DAC '03.

Yici Cai, Jun Gu, Xianlong Hong, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wenjian Yu, Xiang Hu, Chung-Kuan Cheng, 2009, SLIP '09.

Chia-Chun Tsai, De-Yu Kao, Chung-Kuan Cheng, 1995, ASP-DAC '95.

Chung-Kuan Cheng, Hao Zhuang, Shih-Hung Weng, 2013, 2013 IEEE 10th International Conference on ASIC.

Yang Liu, Xiang Zhang, Chung-Kuan Cheng, 2013, 2013 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Chung-Kuan Cheng, S. H. Lee, Jiao Fan, 1995, IEEE Trans. Very Large Scale Integr. Syst..

Chingwei Yeh, Chung-Kuan Cheng, Ting-Ting Y. Lin, 1991, DAC '91.

Jianhua Liu, Chung-Kuan Cheng, Michael Chang, 2006, FPGA '06.

Yi Zhu, Chung-Kuan Cheng, Michael Bedford Taylor, 2009, TODE.

Jian Wang, Wenjian Yu, Xianlong Hong, 2009, 2009 10th International Symposium on Quality Electronic Design.

Jae Chung, Chung-Kuan Cheng, Chung-Kuan Cheng, 1994, ICCAD '94.

Quan Chen, Chung-Kuan Cheng, Shih-Hung Weng, 2011, 2011 9th IEEE International Conference on ASIC.

Chung-Kuan Cheng, Dongwon Park, Xinyuan Wang, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ronald L. Graham, Chung-Kuan Cheng, Haikun Zhu, 2006, TODE.

Evangeline F. Y. Young, Ronald L. Graham, Chung-Kuan Cheng, 2010, ISPD '10.

Yi Zhu, Shuo Zhou, Chung-Kuan Cheng, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Andrew B. Kahng, Ion I. Mandoiu, Chung-Kuan Cheng, 2003, ICCAD.

Andrew B. Kahng, Chung-Kuan Cheng, Hongyu Chen, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Chingwei Yeh, Chung-Kuan Cheng, Ting-Ting Y. Lin, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Masahiro Fujita, Chung-Kuan Cheng, Robert J. Carragher, 1993, ICCAD.

Andrew B. Kahng, Chung-Kuan Cheng, T. C. Hu, 2018, ISPD.

Yici Cai, Jun Gu, Sheqin Dong, 2004, Science in China Series F: Information Sciences.

Chung-Kuan Cheng, Jianmin Li, 1995, Proceedings IEEE Symposium on FPGAs for Custom Computing Machines.

Yici Cai, Jun Gu, Sheqin Dong, 2001, ASP-DAC '01.

Jianhua Liu, Chung-Kuan Cheng, 2006 .

Chung-Kuan Cheng, T. C. Hu, Lung-Tien Liu, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Jun Gu, Xianlong Hong, Chung-Kuan Cheng, 2004, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chung-Kuan Cheng, Bo Yao, Zhengyong Zhu, 2003, DAC '03.

Takumi Okamoto, Chung-Kuan Cheng, Renshen Wang, 2009, 2009 IEEE International Conference on Computer Design.

Qiang Zhou, Zhuoyuan Li, Vijay Pitchumani, 2006, ISPD '06.

Chung-Kuan Cheng, Nan-Chi Chou, 1995, IEEE Trans. Very Large Scale Integr. Syst..

Chung-Kuan Cheng, Yen-Chuen A. Wei, Chung-Kuan Cheng, 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

Ngai Wong, Xiang Hu, Chung-Kuan Cheng, 2012, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chung-Kuan Cheng, James F. Buckwalter, Yulei Zhang, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chung-Kuan Cheng, Wanping Zhang, Xiaoming Chen, 2008, 2008 IEEE-EPEP Electrical Performance of Electronic Packaging.

Yi Zhu, Chung-Kuan Cheng, T. Weng, 2008, 2008 38th Annual Frontiers in Education Conference.

Chung-Kuan Cheng, Paul M. Chau, Takeo Hamada, 1993, 30th ACM/IEEE Design Automation Conference.

Chung-Kuan Cheng, Ernest S. Kuh, Manjit Borah, 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Ngai Wong, Xiang Hu, Chung-Kuan Cheng, 2011, 2011 Design, Automation & Test in Europe.

Evangeline F. Y. Young, Chung-Kuan Cheng, Renshen Wang, 2010, TODE.

Yi Zhu, Thomas Weng, Chung-Kuan Cheng, 2009, IEEE Transactions on Education.

Chung-Kuan Cheng, Robi Dutta, 2001, ICCAD 2001.

Chung-Kuan Cheng, Xinyuan Wang, Hao Zhuang, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Ronald L. Graham, Chung-Kuan Cheng, Bo Yao, 2001, ISPD '01.

Yang Liu, Xiang Zhang, Chung-Kuan Cheng, 2014, 2014 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Chung-Kuan Cheng, He Peng, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Masanori Hashimoto, Jianhua Liu, Ling Zhang, 2008, 2008 Asia and South Pacific Design Automation Conference.

Jun Gu, Sheqin Dong, Xianlong Hong, 2005, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Xianlong Hong, Chung-Kuan Cheng, Ernest S. Kuh, 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

Chung-Kuan Cheng, Chin-Chih Chang, Chin-Chi Teng, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Chung-Kuan Cheng, Ernest S. Kuh, Zhengyong Zhu, 2006 .

Yici Cai, Jun Gu, Sheqin Dong, 2003, Proceedings of the 2003 International Symposium on Circuits and Systems, 2003. ISCAS '03..

Takeshi Yoshimura, Chung-Kuan Cheng, Pei-Ning Guo, 1999, DAC '99.

Sheqin Dong, Xianlong Hong, Chung-Kuan Cheng, 2005, Sixth international symposium on quality electronic design (isqed'05).

Chung-Kuan Cheng, Lung-Tien Liu, Ming-Ter Kuo, 1995, 1995 International Symposium on VLSI Technology, Systems, and Applications. Proceedings of Technical Papers.

Chung-Kuan Cheng, He Peng, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Chung-Kuan Cheng, Renshen Wang, Evangeline F.Y. Young, 2009, 2009 International Conference on Communications, Circuits and Systems.

Jun Gu, Sheqin Dong, Xianlong Hong, 2004 .

Xianlong Hong, Chung-Kuan Cheng, Ernest S. Kuh, 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Andrew B. Kahng, Chung-Kuan Cheng, Dirk Stroobandt, 2001, ASP-DAC '01.

Chung-Kuan Cheng, Xiaodong Yang, Walter H. Ku, 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

Chung-Kuan Cheng, T. C. Hu, Chung-Kuan Cheng, 1990, IPCO.

Chung-Kuan Cheng, Pei-Ning Guo, Chung-Kuan Cheng, 1998 .

Chung-Kuan Cheng, Renshen Wang, 2009, GLSVLSI '09.

Chung-Kuan Cheng, He Peng, K. Rouz, 2008, 2008 IEEE-EPEP Electrical Performance of Electronic Packaging.

Bo Hu, Malgorzata Marek-Sadowska, Kai Wang, 2004, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Chung-Kuan Cheng, Bao Liu, 2001, SLIP '01.

Chung-Kuan Cheng, Jae Chung, Chung-Kuan Cheng, 1994, IEEE/ACM International Conference on Computer-Aided Design.

Qiang Zhou, Zhuoyuan Li, Wenjian Yu, 2007, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Andrew B. Kahng, Chung-Kuan Cheng, Kambiz Samadi, 2010, SLIP '10.

Chung-Kuan Cheng, Fang-Jou Liu, 1999 .

Xiang Hu, Chung-Kuan Cheng, Peng Du, 2011, 2011 9th IEEE International Conference on ASIC.

Yi Zhu, Ronald L. Graham, Chung-Kuan Cheng, 2006, 2006 43rd ACM/IEEE Design Automation Conference.

Bill Salefski, Chung-Kuan Cheng, Nan-Chi Chou, 2009, 2009 46th ACM/IEEE Design Automation Conference.

Yi Zhu, Chung-Kuan Cheng, R. Graham, 2006, 2006 IEEE/ACM International Conference on Computer Aided Design.

Xiang Hu, Chung-Kuan Cheng, Peng Du, 2010, 2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC).

Chung-Kuan Cheng, Wanping Zhang, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Feng Zhou, Chung-Kuan Cheng, Bo Yao, 2002, Proceedings. IEEE International Conference on Computer Design: VLSI in Computers and Processors.

Chung-Kuan Cheng, Nan-Chi Chou, T. C. Russell, 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

Chung-Kuan Cheng, Lung-Tien Liu, Minshine Shih, 1994, 31st Design Automation Conference.

Ling Zhang, Wenjian Yu, Chung-Kuan Cheng, 2008, 2008 16th IEEE Symposium on High Performance Interconnects.

Chung-Kuan Cheng, Hao Zhuang, Kambiz Samadi, 2013, 2013 International Conference on Communications, Circuits and Systems (ICCCAS).

Chung-Kuan Cheng, John Lillis, T.-T.Y. Lin, 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.

Tzyy-Ping Jung, Yu-Te Wang, Yijun Wang, 2015, 2015 37th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Yici Cai, Jun Gu, Sheqin Dong, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Yi Zhu, Shuo Zhou, Chung-Kuan Cheng, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Tzyy-Ping Jung, Yi Zhu, Chung-Kuan Cheng, 2006, Sixth IEEE Symposium on BioInformatics and BioEngineering (BIBE'06).

Chung-Kuan Cheng, Nan-Chi Chou, Lung-Tien Liu, 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chung-Kuan Cheng, Nan-Chi Chou, T. C. Hu, 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chung-Kuan Cheng, Hongliang Chang, Hao Zhuang, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Wenjian Yu, Xianlong Hong, Zeyi Wang, 2008, 2008 9th International Conference on Solid-State and Integrated-Circuit Technology.

Chia-Hung Liu, Hao Liu, Chung-Kuan Cheng, 2015, 2015 IEEE Biomedical Circuits and Systems Conference (BioCAS).

Ronald L. Graham, Chung-Kuan Cheng, Haikun Zhu, 2005, ASP-DAC.

Chung-Kuan Cheng, Chin-Chih Chang, Chin-Chi Teng, 2013, 2013 IEEE 10th International Conference on ASIC.

Yi Zhu, Shuo Zhou, Chung-Kuan Cheng, 2007, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chung-Kuan Cheng, Jianmin Li, 1998, IEEE Trans. Very Large Scale Integr. Syst..

Sheqin Dong, Xianlong Hong, Chung-Kuan Cheng, 2005, 2005 IEEE International Symposium on Circuits and Systems.

Chung-Kuan Cheng, James F. Buckwalter, Yulei Zhang, 2010, SLIP '10.

Rui Shi, Chung-Kuan Cheng, Hongyu Chen, 2005, 2005 International Conference on Computer Design.

Chung-Kuan Cheng, Xiang Zhang, Ryan Coutts, 2016, 2016 IEEE 25th Conference on Electrical Performance Of Electronic Packaging And Systems (EPEPS).

Feng Zhou, Chung-Kuan Cheng, Bo Yao, 2003, ASP-DAC '03.

Masahiro Fujita, Chung-Kuan Cheng, Robert J. Carragher, 1995, Proceedings of ICCD '95 International Conference on Computer Design. VLSI in Computers and Processors.

Chung-Kuan Cheng, Chung-Kuan Cheng, 1987, Networks.

Chung-Kuan Cheng, Jianmin Li, John Lillis, 1995, ICCAD.

Satoshi Goto, Sheqin Dong, Chung-Kuan Cheng, 2008, 2008 IEEE/ACM International Conference on Computer-Aided Design.

Xiang Hu, Chung-Kuan Cheng, Yuanzhe Wang, 2012, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Yao-Wen Chang, Chung-Kuan Cheng, Xin-Wei Shih, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Chung-Kuan Cheng, He Peng, Chung-Kuan Cheng, 2009, 2009 Asia and South Pacific Design Automation Conference.

Chung-Kuan Cheng, Yen-Chuen A. Wei, Chung-Kuan Cheng, 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

Chung-Kuan Cheng, Ramamohan Paturi, Masahiro Fujita, 1996 .

Chung-Kuan Cheng, M. Fujita, M.-T. Kuo, 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.

Chung-Kuan Cheng, Xiaodong Yang, Walter H. Ku, 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

Yici Cai, Jun Gu, Shuo Zhou, 2002, Journal of Computer Science and Technology.

Andrew B. Kahng, Chung-Kuan Cheng, Hongyu Chen, 2004 .

Chung-Kuan Cheng, Koen Lampaert, Yingxin Pang, 2001, ISPD '01.

Chung-Kuan Cheng, Jae Dong Chung, Robert R. McBride, 1993 .

Tzyy-Ping Jung, Yu-Te Wang, Yijun Wang, 2013, 2013 35th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Chung-Kuan Cheng, Jianmin Li, Lung-Tien Liu, 1996, DAC '96.

Chung-Kuan Cheng, David N. Deutsch, 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

Jianhua Liu, Chung-Kuan Cheng, Haikun Zhu, 2003, ICCAD 2003.

Chung-Kuan Cheng, Hui Wang, Hao Zhuang, 2013, 2013 International Conference on Communications, Circuits and Systems (ICCCAS).

Masanori Hashimoto, Ling Zhang, Chung-Kuan Cheng, 2009, 2009 Asia and South Pacific Design Automation Conference.

Quan Chen, Ngai Wong, Chung-Kuan Cheng, 2016, Int. J. Circuit Theory Appl..

Jin Xu, Chung-Kuan Cheng, Pei-Ning Guo, 1999, VLSI Design.

Sheqin Dong, Xianlong Hong, Chung-Kuan Cheng, 2005, Sixth international symposium on quality electronic design (isqed'05).

Chung-Kuan Cheng, Bo Yao, Hongyu Chen, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Yi Zhu, Chung-Kuan Cheng, Amirali Shayan Arani, 2007, 2007 IEEE 7th International Symposium on BioInformatics and BioEngineering.

Chung-Kuan Cheng, Nan-Chi Chou, T. C. Hu, 1991, [1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit.

Chung-Kuan Cheng, T.-T.Y. Lin, Ching-Wei Yeh, 1991, [1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit.

Chung-Kuan Cheng, Yen-Chuen A. Wei, Yen-Chuen Wei, 1991 .

Andrew B. Kahng, Chung-Kuan Cheng, Bo Yao, 2003, DAC '03.

Xianlong Hong, Chung-Kuan Cheng, Ernest S. Kuh, 1993, 30th ACM/IEEE Design Automation Conference.

Chung-Kuan Cheng, Pengwen Chen, Xinyuan Wang, 2021, SIAM J. Numer. Anal..

Andrew B. Kahng, Chung-Kuan Cheng, Ilgweon Kang, 2021, 2021 IEEE 39th International Conference on Computer Design (ICCD).

Chung-Kuan Cheng, Bill Lin, Chia-Tung Ho, 2021, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Devon J. Merrill, Chung-Kuan Cheng, Ting-Chou Lin, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Chung-Kuan Cheng, Chia-Tung Ho, Daeyeal Lee, 2021, IEEE Journal on Exploratory Solid-State Computational Devices and Circuits.

Chung-Kuan Cheng, Uday Mallappa, Chung-Kuan Cheng, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Chung-Kuan Cheng, Bill Lin, Daeyeal Lee, 2021, IEEE Embedded Systems Letters.

Chung-Kuan Cheng, Bill Lin, Chester Holtz, 2021, 2021 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP).

Andrew B. Kahng, Hayoung Kim, Chung-Kuan Cheng, 2022, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chung-Kuan Cheng, John Lillis, Stephen H Hall, 2001, IEEE Signal Processing Magazine.

Peter M. Asbeck, Chung-Kuan Cheng, Lawrence E. Larson, 2003 .

Yici Cai, Jun Gu, Sheqin Dong, 2001, Proceedings of the ASP-DAC 2001. Asia and South Pacific Design Automation Conference 2001 (Cat. No.01EX455).

Yici Cai, Jun Gu, Sheqin Dong, 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

Chung-Kuan Cheng, Bo Yao, Hongyu Chen, 2003, Proceedings of the ASP-DAC Asia and South Pacific Design Automation Conference, 2003..

Chung-Kuan Cheng, Lung-Tien Liu, John Lillis, 1996, 33rd Design Automation Conference Proceedings, 1996.

Sheldon X.-D. Tan, Chung-Kuan Cheng, Zhanhai Qin, 2004 .

Chung-Kuan Cheng, Walter H. Ku, Robert J. Carragher, 2002 .

Tzyy-Ping Jung, Chun-Shu Wei, Yijun Wang, 2017, IEEE Transactions on Neural Systems and Rehabilitation Engineering.

Chung-Kuan Cheng, J. Lillis, Chung-Kuan Cheng, 1997, Proceedings of the 34th Design Automation Conference.

Wenjian Yu, Chung-Kuan Cheng, Haikun Zhu, 2008, ISQED 2008.

Satoshi Goto, Sheqin Dong, Chung-Kuan Cheng, 2008, ICCAD 2008.

Yici Cai, Jun Gu, Sheqin Dong, 2002, 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).

Takeshi Yoshimura, Chung-Kuan Cheng, Pei-Ning Guo, 2003 .

Chung-Kuan Cheng, Zhanhai Qin, Chung-Kuan Cheng, 2003, Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).

Chung-Kuan Cheng, Hao Zhuang, Ilgweon Kang, 2015, 2015 IEEE Symposium on Electromagnetic Compatibility and Signal Integrity.

Chung-Kuan Cheng, T. C. Hu, So-Zen Yao, 1990, IEEE International Symposium on Circuits and Systems.

Chung-Kuan Cheng, K. Lampaert, Yingxin Pang, 2000, Proceedings 37th Design Automation Conference.

Chung-Kuan Cheng, M. Marek-Sadowska, P. Suaris, 2000, Proceedings 37th Design Automation Conference.

Masahiro Fujita, Chung-Kuan Cheng, Robert J. Carragher, 1993, ICCAD '93.

Chung-Kuan Cheng, John Lillis, T.-T.Y. Lin, 1996, 1996 IEEE International Symposium on Circuits and Systems. Circuits and Systems Connecting the World. ISCAS 96.