Leibo Liu

发表

Chenchen Deng, Leibo Liu, Yang Liu, 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Zheng Wang, Francisco V. Fernández, Yan Wang, 2009, Integr..

Yiyu Shi, Leibo Liu, Umamaheswara Rao Tida, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Hongyi Chen, Zhihua Wang, Leibo Liu, 2004 .

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, IEICE Trans. Inf. Syst..

Dong Wang, Leibo Liu, Shouyi Yin, 2013, 2013 IEEE Eighth International Conference on Networking, Architecture and Storage.

Zhihua Wang, Hongyi Chen, Leibo Liu, 2002, Asia-Pacific Conference on Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chunxiao Xing, Leibo Liu, Shouyi Yin, 2017, ACM Great Lakes Symposium on VLSI.

Dong Wang, Chenchen Deng, Leibo Liu, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Duncan G. Elliott, Fabrizio Lombardi, Leibo Liu, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Zhihua Wang, Leibo Liu, Hongying Meng, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Circuits and Systems for Video Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shaojun Wei, Yu Xiao, 2008, 2008 International Conference on Communications, Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, 2012 8th International Conference on Computing Technology and Information Management (NCM and ICNIT).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2017, IEEE Transactions on Parallel and Distributed Systems.

Terrence Mak, Xiaohang Wang, Ho-fung Leung, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Fabrizio Lombardi, Leibo Liu, Jie Han, 2017, ACM J. Emerg. Technol. Comput. Syst..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Circuits and Systems II: Express Briefs.

Rui Shi, Leibo Liu, Shouyi Yin, 2012, 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2011, 2011 International Conference on Consumer Electronics, Communications and Networks (CECNet).

Xinkai Chen, Leibo Liu, Shouyi Yin, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chen Yang, Leibo Liu, Shouyi Yin, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2017, IET Image Process..

Leibo Liu, Hongying Meng, Milin Zhang, 2006, APCCAS 2006 - 2006 IEEE Asia Pacific Conference on Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, IEICE Trans. Inf. Syst..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, IEEE Transactions on Parallel and Distributed Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, IEEE Transactions on Parallel and Distributed Systems.

Leibo Liu, Shaojun Wei, Guiqiang Peng, 2019, Massive MIMO Detection Algorithm and VLSI Architecture.

Jianwei Cui, Leibo Liu, Shouyi Yin, 2011, 2011 9th IEEE International Conference on ASIC.

Qiang Li, Leibo Liu, Shaojun Wei, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Hongyi Chen, Zhihua Wang, Leibo Liu, 2003, ASICON 2003.

Yu Peng, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhen Zhang, Rui Shi, Hao Dong, 2014, The 18th IEEE International Symposium on Consumer Electronics (ISCE 2014).

Victor Y. Chen, Dong Wang, Leibo Liu, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shengyang Mao, Leibo Liu, 2016, 2016 6th International Conference on Electronics Information and Emergency Communication (ICEIEC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Multimedia.

Leibo Liu, Shaojun Wei, Leibo Liu, 2019, Springer Singapore.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shaojun Wei, Yufeng Xie, 2007, 2007 7th International Conference on ASIC.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, 2012 IEEE International Symposium on Circuits and Systems.

Leibo Liu, Shaojun Wei, Guiqiang Peng, 2019 .

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, IEICE Trans. Inf. Syst..

Fabrizio Lombardi, Leibo Liu, Jie Han, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhihua Wang, Leibo Liu, Shouyi Yin, 2010, 2010 Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia).

Leibo Liu, Shaojun Wei, Jianfeng Zhu, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Bruce F. Cockburn, Fabrizio Lombardi, Leibo Liu, 2019, ACM Great Lakes Symposium on VLSI.

Guanyi Sun, Dong Wang, Leibo Liu, 2013, Science China Information Sciences.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2009, IEICE Trans. Electron..

Jian Weng, Yangdong Deng, Chenchen Deng, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Leibo Liu, Shaojun Wei, Sudong Yu, 2008, 2008 International Conference on Communications, Circuits and Systems.

Dong Wang, Leibo Liu, Bohan Yang, 2012, 2012 2nd International Conference on Consumer Electronics, Communications and Networks (CECNet).

Hui Gao, Leibo Liu, Shouyi Yin, 2013, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Circuits and Systems II: Express Briefs.

Leibo Liu, Jie Han, Honglan Jiang, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yangdong Deng, Leibo Liu, Shouyi Yin, 2018, IEEE Computer Architecture Letters.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Wenjie Wang, Leibo Liu, Shouyi Yin, 2010, 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, CloudCom 2018.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Rui Shi, Leibo Liu, Shouyi Yin, 2013, IEICE Trans. Inf. Syst..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 Symposium on VLSI Circuits.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Access.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.

Yike Guo, Leibo Liu, Shouyi Yin, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Leibo Liu, Shaojun Wei, Guiqiang Peng, 2019 .

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015 .

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Ming Jian Zuo, Leibo Liu, Jie Han, 2014, IEEE Transactions on Reliability.

Leibo Liu, Bo Wang, Bo D. Wang, 2016, Science China Information Sciences.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE International Conference on Robotics and Biomimetics (ROBIO 2014).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Circuits and Systems for Video Technology.

Hai Huang, Leibo Liu, Shouyi Yin, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shaojun Wei, Guiqiang Peng, 2019 .

Leibo Liu, Shaojun Wei, Min Zhu, 2009, 2009 IEEE 8th International Conference on ASIC.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

Fabrizio Lombardi, Leibo Liu, Jie Han, 2019, Approximate Circuits.

Yike Guo, Leibo Liu, Shouyi Yin, 2016, IEEE Computer Architecture Letters.

Youyu Wu, Hui Li, Chenchen Deng, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

Zhen Zhang, Leibo Liu, Shouyi Yin, 2012, IEICE Trans. Electron..

Victor Y. Chen, Li Zhou, Leibo Liu, 2013, Science China Information Sciences.

Leibo Liu, Shaojun Wei, Hai Huang, 2017, 2017 IEEE 9th International Conference on Communication Software and Networks (ICCSN).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012 .

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE International Conference on Consumer Electronics (ICCE).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, Science China Information Sciences.

Youguang Zhang, Leibo Liu, Shouyi Yin, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Hongyi Chen, Leibo Liu, Lei Wang, 2008, 2008 9th International Conference on Solid-State and Integrated-Circuit Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, IEICE Trans. Commun..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, J. Circuits Syst. Comput..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, 2013 IEEE Eighth International Conference on Networking, Architecture and Storage.

Zhen Zhang, Leibo Liu, Shouyi Yin, 2015, 2015 IEEE International Conference on Consumer Electronics (ICCE).

Leibo Liu, Chen Wu, Jiqiang Chen, 2016, 2016 6th International Conference on Electronics Information and Emergency Communication (ICEIEC).

Yao Wang, Yangdong Deng, Leibo Liu, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2008, 2008 International Conference on Communications, Circuits and Systems.

Hui Gao, Leibo Liu, Shouyi Yin, 2012, 2012 8th International Conference on Computing Technology and Information Management (NCM and ICNIT).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2016, IEEE Transactions on Information Forensics and Security.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Ying Zhang, Yuan Xie, Leibo Liu, 2019 .

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, CloudCom 2018.

Bo Wang, Leibo Liu, Shaojun Wei, 2018 .

Dong Wang, Leibo Liu, Pengju Ren, 2013, IEICE Electron. Express.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE International Conference on Consumer Electronics (ICCE).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2014, 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Wayne Luk, Leibo Liu, Shouyi Yin, 2018, Neurocomputing.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, IEICE Trans. Commun..

Long Wang, Victor Y. Chen, Dong Wang, 2013, Science China Information Sciences.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Hui Yan, Leibo Liu, Shouyi Yin, 2019, FPGA.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, The 20th Asia and South Pacific Design Automation Conference.

Zhen Zhang, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Consumer Electronics.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, IEICE Trans. Inf. Syst..

Xiao Yang, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2011, 2011 9th IEEE International Conference on ASIC.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 5th International Symposium on Next-Generation Electronics (ISNE).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Leibo Liu, Shaojun Wei, Guiqiang Peng, 2019, Massive MIMO Detection Algorithm and VLSI Architecture.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2011, 2011 International Conference on Consumer Electronics, Communications and Networks (CECNet).

Hui Li, Leibo Liu, Shouyi Yin, 2018, 2018 International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery (CyberC).

Bo Wang, Chenchen Deng, Leibo Liu, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Hongyi Chen, Lei Wang, Leibo Liu, 2009, 2009 IEEE International Symposium on Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2009, 2009 International Conference on Communications, Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2009, 2009 International Conference on Communications, Circuits and Systems.

Zhen Zhang, Rui Shi, Hao Dong, 2017, IEEE Access.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Bo Wang, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 IEEE 6th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Bo Wang, Ao Li, Leibo Liu, 2018, 2018 13th APCA International Conference on Control and Soft Computing (CONTROLO).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, Science China Information Sciences.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2011, 2011 International Conference on Consumer Electronics, Communications and Networks (CECNet).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2014, 2014 IEEE Frontiers in Education Conference (FIE) Proceedings.

Lifeng Sun, Leibo Liu, Shouyi Yin, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Yu Peng, Leibo Liu, Shouyi Yin, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wei Liu, Leibo Liu, Shouyi Yin, 2014, 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Journal of Solid-State Circuits.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2009, Proceedings of the 2009 12th International Symposium on Integrated Circuits.

Wenjie Wang, Leibo Liu, Shouyi Yin, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, Science China Information Sciences.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, SAC.

Peng Zhang, Leibo Liu, Shaojun Wei, 2016, 2016 8th IEEE International Conference on Communication Software and Networks (ICCSN).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE Winter Conference on Applications of Computer Vision.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Leibo Liu, Shaojun Wei, Yufeng Xie, 2007, 2007 7th International Conference on ASIC.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Chao Yang, Leibo Liu, Shouyi Yin, 2009, 2009 IEEE 8th International Conference on ASIC.

Leibo Liu, Shaojun Wei, Tao Ji, 2017, 2017 IEEE 9th International Conference on Communication Software and Networks (ICCSN).

Dong Wang, Jun Yang, Leibo Liu, 2015, IEEE Transactions on Multimedia.

Victor Y. Chen, Dong Wang, Li Zhou, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2011 .

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shaojun Wei, Muhua Han, 2008, 2008 International Conference on Communications, Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 5th International Conference on Information Science and Control Engineering (ICISCE).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

Chunxiao Xing, Leibo Liu, Shouyi Yin, 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Zhen Zhang, Leibo Liu, Shouyi Yin, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Fabrizio Lombardi, Leibo Liu, Jie Han, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 22nd International Conference on Pattern Recognition.

Yike Guo, Leibo Liu, Shouyi Yin, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Hongyi Chen, Zhihua Wang, Leibo Liu, 2003, ASICON 2003.

Yu Peng, Leibo Liu, Shouyi Yin, 2015, The 20th Asia and South Pacific Design Automation Conference.

Leibo Liu, Jie Han, Honglan Jiang, 2017, 2017 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Qiang Wang, Yiyu Shi, Leibo Liu, 2019, IEEE Transactions on Circuits and Systems for Video Technology.

Yuan Dong, Leibo Liu, Shouyi Yin, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Hongyi Chen, Lei Wang, Leibo Liu, 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Qiang Wang, Chenchen Deng, Leibo Liu, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2014, Science China Information Sciences.

Fabrizio Lombardi, Leibo Liu, Jie Han, 2016, IEEE Transactions on Reliability.

Leibo Liu, Shaojun Wei, Guiqiang Peng, 2019 .

Yu Peng, Leibo Liu, Shouyi Yin, 2015, IEICE Trans. Inf. Syst..

Bo Wang, Leibo Liu, Shaojun Wei, 2018, 2018 13th APCA International Conference on Control and Soft Computing (CONTROLO).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, 2010 6th International Conference on Wireless Communications Networking and Mobile Computing (WiCOM).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, Sensors.

Yang Zhang, Bo Liu, Leibo Liu, 2014, 2014 IEEE International Parallel & Distributed Processing Symposium Workshops.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Li Zhang, Ning Chen, Leibo Liu, 2005, IEEE Workshop on Signal Processing Systems Design and Implementation, 2005..

Yang Xue, Leibo Liu, Shouyi Yin, 2017, 2017 IEEE 9th International Conference on Communication Software and Networks (ICCSN).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Parallel and Distributed Systems.

Xiangyu Li, Leibo Liu, Shouyi Yin, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Li Zhang, Leibo Liu, Zhihua Wang, 2005, 2005 6th International Conference on ASIC.

Rui Dai, Leibo Liu, Shaojun Wei, 2007, 2007 IEEE International Symposium on Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.