Shouyi Yin

发表

Chenchen Deng, Leibo Liu, Yang Liu, 2017, IEEE Transactions on Circuits and Systems II: Express Briefs.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Jun Yang, Yan Liu, Shouyi Yin, 2019, 2019 IEEE International Solid- State Circuits Conference - (ISSCC).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, IEICE Trans. Inf. Syst..

Dong Wang, Leibo Liu, Shouyi Yin, 2013, 2013 IEEE Eighth International Conference on Networking, Architecture and Storage.

Shouyi Yin, Shaojun Wei, Chenyang Wang, 2008, 2008 International Conference on Communications, Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chunxiao Xing, Leibo Liu, Shouyi Yin, 2017, ACM Great Lakes Symposium on VLSI.

Dong Wang, Chenchen Deng, Leibo Liu, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Circuits and Systems for Video Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE 57th International Midwest Symposium on Circuits and Systems (MWSCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, 2012 8th International Conference on Computing Technology and Information Management (NCM and ICNIT).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2017, IEEE Transactions on Parallel and Distributed Systems.

Terrence Mak, Xiaohang Wang, Ho-fung Leung, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Circuits and Systems II: Express Briefs.

Rui Shi, Leibo Liu, Shouyi Yin, 2012, 2012 IEEE 11th International Conference on Solid-State and Integrated Circuit Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2011, 2011 International Conference on Consumer Electronics, Communications and Networks (CECNet).

Xinkai Chen, Leibo Liu, Shouyi Yin, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Yangdong Deng, Shouyi Yin, Yifan Yang, 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chen Yang, Leibo Liu, Shouyi Yin, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2017, IET Image Process..

Zhiwei Liu, Shouyi Yin, Zhaoshi Li, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Yangdong Deng, Shouyi Yin, Jiawei Wang, 2019, MICRO.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, IEICE Trans. Inf. Syst..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, IEEE Transactions on Parallel and Distributed Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Shouyi Yin, Shaojun Wei, Jianfeng Zhu, 2020, IEEE Transactions on Parallel and Distributed Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, IEEE Transactions on Parallel and Distributed Systems.

Shouyi Yin, Ning Li, Shaojun Wei, 2020, 2020 IEEE 28th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Yang Wang, Shouyi Yin, Feng Xiong, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Jianwei Cui, Leibo Liu, Shouyi Yin, 2011, 2011 9th IEEE International Conference on ASIC.

Yu Peng, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Zhen Zhang, Rui Shi, Hao Dong, 2014, The 18th IEEE International Symposium on Consumer Electronics (ISCE 2014).

Victor Y. Chen, Dong Wang, Leibo Liu, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Chenchen Deng, Shouyi Yin, Junbin Wang, 2016, IEEE Transactions on Parallel and Distributed Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Multimedia.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, 2012 IEEE International Symposium on Circuits and Systems.

George Jie Yuan, Shouyi Yin, Shaojun Wei, 2014, IEEE Journal of Solid-State Circuits.

Shouyi Yin, Shaojun Wei, Weisheng Zhao, 2019, ACM Great Lakes Symposium on VLSI.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, IEICE Trans. Inf. Syst..

Xiaokang Lin, Shouyi Yin, Yongqiang Xiong, 2007 .

Cong Xu, Yuan Xie, Jishen Zhao, 2019, IEEE Transactions on Parallel and Distributed Systems.

Zhihua Wang, Leibo Liu, Shouyi Yin, 2010, 2010 Asia Pacific Conference on Postgraduate Research in Microelectronics and Electronics (PrimeAsia).

Guanyi Sun, Dong Wang, Leibo Liu, 2013, Science China Information Sciences.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2009, IEICE Trans. Electron..

Hui Gao, Leibo Liu, Shouyi Yin, 2013, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Nanning Zheng, Xiang Li, Hongbin Sun, 2018, 2018 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS).

Xiaohang Wang, Shouyi Yin, Liang Wang, 2020, IEEE Transactions on Parallel and Distributed Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Qian Zhang, Xiaokang Lin, Shouyi Yin, 2006, IEEE International Conference on Sensor Networks, Ubiquitous, and Trustworthy Computing (SUTC'06).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Circuits and Systems II: Express Briefs.

Yangdong Deng, Leibo Liu, Shouyi Yin, 2018, IEEE Computer Architecture Letters.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Wenjie Wang, Leibo Liu, Shouyi Yin, 2010, 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, CloudCom 2018.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Rui Shi, Leibo Liu, Shouyi Yin, 2013, IEICE Trans. Inf. Syst..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 Symposium on VLSI Circuits.

Youguang Zhang, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Magnetics.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Access.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.

Yike Guo, Leibo Liu, Shouyi Yin, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shouyi Yin, Xianwei Zhang, Xianhong Chen, 2020, INTERSPEECH.

Yangdong Deng, Shouyi Yin, Jianfeng Zhu, 2019, ACM Comput. Surv..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015 .

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE International Conference on Robotics and Biomimetics (ROBIO 2014).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Circuits and Systems for Video Technology.

Shouyi Yin, Hailong Yao, Shaojun Wei, 2020, 2020 57th ACM/IEEE Design Automation Conference (DAC).

Hai Huang, Leibo Liu, Shouyi Yin, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2019, 2019 IEEE International Symposium on Circuits and Systems (ISCAS).

Yike Guo, Leibo Liu, Shouyi Yin, 2016, IEEE Computer Architecture Letters.

Youyu Wu, Hui Li, Chenchen Deng, 2020, IEEE Transactions on Circuits and Systems II: Express Briefs.

Zhen Zhang, Leibo Liu, Shouyi Yin, 2012, IEICE Trans. Electron..

Victor Y. Chen, Li Zhou, Leibo Liu, 2013, Science China Information Sciences.

Shouyi Yin, Qiang Li, Shaojun Wei, 2019, 2019 IEEE 11th International Conference on Communication Software and Networks (ICCSN).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012 .

Shouyi Yin, Xi Chen, Songyang Zhang, 2020, INTERSPEECH.

Xiaokang Lin, Shouyi Yin, 2005, Second IFIP International Conference on Wireless and Optical Communications Networks, 2005. WOCN 2005..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE International Conference on Consumer Electronics (ICCE).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, Science China Information Sciences.

Hui Gao, Shouyi Yin, Shaojun Wei, 2012, 2012 8th International Conference on Computing Technology and Information Management (NCM and ICNIT).

Youguang Zhang, Leibo Liu, Shouyi Yin, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Shouyi Yin, Weiwei Wu, Fengbin Tu, 2019, 2019 17th IEEE International New Circuits and Systems Conference (NEWCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, IEICE Trans. Commun..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, J. Circuits Syst. Comput..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, 2013 IEEE Eighth International Conference on Networking, Architecture and Storage.

Zhen Zhang, Leibo Liu, Shouyi Yin, 2015, 2015 IEEE International Conference on Consumer Electronics (ICCE).

Shouyi Yin, Xianwei Zhang, Xianhong Chen, 2019, ArXiv.

Yao Wang, Yangdong Deng, Leibo Liu, 2017, 2017 ACM/IEEE 44th Annual International Symposium on Computer Architecture (ISCA).

Shouyi Yin, Shaojun Wei, Peng Ouyang, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2008, 2008 International Conference on Communications, Circuits and Systems.

Yang Wang, Shouyi Yin, Ning Li, 2021, IEEE Journal of Solid-State Circuits.

Hui Gao, Leibo Liu, Shouyi Yin, 2012, 2012 8th International Conference on Computing Technology and Information Management (NCM and ICNIT).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2016, IEEE Transactions on Information Forensics and Security.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA).

Ying Zhang, Yuan Xie, Leibo Liu, 2019 .

Xiaokang Lin, Shouyi Yin, Xiaokang Lin, 2005, IEEE International Conference on Communications, 2005. ICC 2005. 2005.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, CloudCom 2018.

Shouyi Yin, Dongdong Cui, Shaojun Wei, 2019, 2019 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC).

Shouyi Yin, Shibin Tang, Shaojun Wei, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE International Conference on Consumer Electronics (ICCE).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2014, 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Wayne Luk, Leibo Liu, Shouyi Yin, 2018, Neurocomputing.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, IEICE Trans. Commun..

Long Wang, Victor Y. Chen, Dong Wang, 2013, Science China Information Sciences.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Bo Wang, Chenchen Deng, Shouyi Yin, 2017, IEEE Transactions on Information Forensics and Security.

Hui Yan, Leibo Liu, Shouyi Yin, 2019, FPGA.

Chenchen Deng, Leibo Liu, Shouyi Yin, 2015, The 20th Asia and South Pacific Design Automation Conference.

Shouyi Yin, Shaojun Wei, Jiangyuan Gu, 2018, IEEE Transactions on Parallel and Distributed Systems.

Zhen Zhang, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Consumer Electronics.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2012, IEICE Trans. Inf. Syst..

Shouyi Yin, Peng Ouyang, Zihang Jiang, 2020, ICASSP 2020 - 2020 IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP).

Shouyi Yin, Shaojun Wei, Yansheng Wang, 2008, 2008 International Conference on Communications, Circuits and Systems.

Youguang Zhang, Shouyi Yin, Shaojun Wei, 2019, IEEE Transactions on Computers.

Shouyi Yin, Pan Wang, Sheng Zhou, 2020, IEEE Transactions on Wireless Communications.

Xiao Yang, Leibo Liu, Shouyi Yin, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Xiaokang Lin, Shouyi Yin, Xiaokang Lin, 2004, IEEE 60th Vehicular Technology Conference, 2004. VTC2004-Fall. 2004.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2011, 2011 9th IEEE International Conference on ASIC.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 5th International Symposium on Next-Generation Electronics (ISNE).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2011, 2011 International Conference on Consumer Electronics, Communications and Networks (CECNet).

Shouyi Yin, Sheng Zhou, Shaojun Wei, 2020, IEEE Journal of Solid-State Circuits.

Hui Li, Leibo Liu, Shouyi Yin, 2018, 2018 International Conference on Cyber-Enabled Distributed Computing and Knowledge Discovery (CyberC).

Bo Wang, Chenchen Deng, Leibo Liu, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2009, 2009 International Conference on Communications, Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2009, 2009 International Conference on Communications, Circuits and Systems.

Zhen Zhang, Rui Shi, Hao Dong, 2017, IEEE Access.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Chen Chen, Shouyi Yin, Shaojun Wei, 2020, IACR Trans. Cryptogr. Hardw. Embed. Syst..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Shouyi Yin, Cong Liu, Yang Hu, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shouyi Yin, Shaojun Wei, Chongyong Yin, 2008, 2008 International Conference on Communications, Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 IEEE 6th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Shouyi Yin, 2008, 2008 International Conference on Communications, Circuits and Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, Science China Information Sciences.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2011, 2011 International Conference on Consumer Electronics, Communications and Networks (CECNet).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2014, 2014 IEEE Frontiers in Education Conference (FIE) Proceedings.

Lifeng Sun, Leibo Liu, Shouyi Yin, 2017, 2017 IEEE International Symposium on Circuits and Systems (ISCAS).

Yu Peng, Leibo Liu, Shouyi Yin, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Wei Liu, Leibo Liu, Shouyi Yin, 2014, 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, 2010 10th IEEE International Conference on Solid-State and Integrated Circuit Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, IEEE Journal of Solid-State Circuits.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE 22nd Annual International Symposium on Field-Programmable Custom Computing Machines.

Hong Liu, Shouyi Yin, Qiang Li, 2020, IEEE Transactions on Circuits and Systems for Video Technology.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2009, Proceedings of the 2009 12th International Symposium on Integrated Circuits.

Wenjie Wang, Leibo Liu, Shouyi Yin, 2010, Proceedings of 2010 IEEE International Symposium on Circuits and Systems.

Shouyi Yin, Shaojun Wei, Leibo Liu, 2019, 2019 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, Science China Information Sciences.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, SAC.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 IEEE Winter Conference on Applications of Computer Vision.

Shouyi Yin, Shaojun Wei, Jiyao Liu, 2012 .

Nanning Zheng, Hongbin Sun, Shouyi Yin, 2020, IEEE Transactions on Circuits and Systems I: Regular Papers.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, IEICE Trans. Fundam. Electron. Commun. Comput. Sci..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Chao Yang, Leibo Liu, Shouyi Yin, 2009, 2009 IEEE 8th International Conference on ASIC.

Shouyi Yin, Yanan Lu, Shaojun Wei, 2020, Journal of Semiconductors.

Dong Wang, Jun Yang, Leibo Liu, 2015, IEEE Transactions on Multimedia.

Shouyi Yin, Fengbin Tu, Shaojun Wei, 2019, 2019 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Victor Y. Chen, Dong Wang, Li Zhou, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Qian Zhang, Xiaokang Lin, Shouyi Yin, 2006, Wirel. Commun. Mob. Comput..

Leibo Liu, Shouyi Yin, Shaojun Wei, 2011 .

Shouyi Yin, Xi Chen, Peng Ouyang, 2019, 2019 IEEE Automatic Speech Recognition and Understanding Workshop (ASRU).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Shouyi Yin, Shaojun Wei, Leibo Liu, 2019, 2019 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Kai Lu, Shouyi Yin, Jiawei Wang, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 5th International Conference on Information Science and Control Engineering (ICISCE).

Qiang Li, Shouyi Yin, Lu Zhan, 2019, 2019 IEEE International Conference on Electron Devices and Solid-State Circuits (EDSSC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2013, IEEE Transactions on Circuits and Systems II: Express Briefs.

Chunxiao Xing, Leibo Liu, Shouyi Yin, 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Zhen Zhang, Leibo Liu, Shouyi Yin, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2017, 2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Shouyi Yin, Shaojun Wei, Binren Tian, 2018, 2018 IEEE 23rd International Conference on Digital Signal Processing (DSP).

Shouyi Yin, Dandan Song, Peng Ouyang, 2019, IEEE Transactions on Circuits and Systems I: Regular Papers.

Shouyi Yin, Leibo Liu, Neng Zhang, 2020, IEEE Transactions on Computers.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 22nd International Conference on Pattern Recognition.

Yike Guo, Leibo Liu, Shouyi Yin, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yu Peng, Leibo Liu, Shouyi Yin, 2015, The 20th Asia and South Pacific Design Automation Conference.

Shouyi Yin, Shaojun Wei, Binren Tian, 2018, J. Low Power Electron..

Hui Gao, Shouyi Yin, Shaojun Wei, 2011, 2011 International Conference on Computational Problem-Solving (ICCP).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2014, 2014 24th International Conference on Field Programmable Logic and Applications (FPL).

Qiang Wang, Yiyu Shi, Leibo Liu, 2019, IEEE Transactions on Circuits and Systems for Video Technology.

Yuan Dong, Leibo Liu, Shouyi Yin, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Chenchen Deng, Leibo Liu, Shouyi Yin, 2014, Science China Information Sciences.

Shouyi Yin, Sheng Zhou, Shaojun Wei, 2020, IEEE Transactions on Signal Processing.

Yu Peng, Leibo Liu, Shouyi Yin, 2015, IEICE Trans. Inf. Syst..

Youguang Zhang, Shouyi Yin, Shaojun Wei, 2018, 2018 14th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT).

Shouyi Yin, Peng Ouyang, Xiaoqing Xu, 2017, 2017 6th International Symposium on Next Generation Electronics (ISNE).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, 2010 6th International Conference on Wireless Communications Networking and Mobile Computing (WiCOM).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2018, 2018 IEEE Asian Solid-State Circuits Conference (A-SSCC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, IEEE Transactions on Circuits and Systems II: Express Briefs.

Leibo Liu, Shouyi Yin, Shaojun Wei, 2015, Sensors.

Xiaokang Lin, Shouyi Yin, Xiaokang Lin, 2005, IEEE Wireless Communications and Networking Conference, 2005.

Shouyi Yin, Shaojun Wei, Jiangyuan Gu, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yang Xue, Leibo Liu, Shouyi Yin, 2017, 2017 IEEE 9th International Conference on Communication Software and Networks (ICCSN).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2016, IEEE Transactions on Parallel and Distributed Systems.

Xiangyu Li, Leibo Liu, Shouyi Yin, 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

Shouyi Yin, Ang Li, Wenjing Hu, 2020, 2020 53rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Leibo Liu, Shouyi Yin, Shaojun Wei, 2010, 2010 IEEE Asia Pacific Conference on Circuits and Systems.

Xin Si, Shouyi Yin, Shaojun Wei, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Shouyi Yin, Jiaxing Shang, Dajiang Liu, 2021, 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Shouyi Yin, Shaojun Wei, Leibo Liu, 2021, 2021 IEEE International Symposium on High-Performance Computer Architecture (HPCA).

Shouyi Yin, Shaojun Wei, Leibo Liu, 2021, 2021 IEEE International Solid- State Circuits Conference (ISSCC).

Yang Wang, Shouyi Yin, Fengbin Tu, 2021, IEEE Journal of Solid-State Circuits.

Shouyi Yin, Xinhan Lin, Fengbin Tu, 2021, 2021 58th ACM/IEEE Design Automation Conference (DAC).

Shouyi Yin, Shibin Tang, Peng Ouyang, 2021, IEEE Transactions on Instrumentation and Measurement.

Shouyi Yin, Leibo Liu, Shaojun Wei, 2021, IACR Trans. Cryptogr. Hardw. Embed. Syst..

Yangdong Deng, Shouyi Yin, Xiaowei Jiang, 2021, IEEE Transactions on Parallel and Distributed Systems.

Shouyi Yin, Shaojun Wei, Leibo Liu, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Shouyi Yin, Leibo Liu, Neng Zhang, 2021, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Shouyi Yin, Zhengdong Li, Leibo Liu, 2021, IACR Trans. Cryptogr. Hardw. Embed. Syst..

Shouyi Yin, Shaojun Wei, Leibo Liu, 2021, 2021 26th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yang Wang, Shouyi Yin, Shaojun Wei, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Shouyi Yin, Leibo Liu, Shaojun Wei, 2021, 2021 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Shouyi Yin, Shaojun Wei, Leibo Liu, 2021, 2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA).

Yang Wang, Shouyi Yin, Shaojun Wei, 2021, 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS).

Shouyi Yin, Xulong Tang, Zihang Jiang, 2021, 2021 IEEE 30th Asian Test Symposium (ATS).

Chen Yang, Chenchen Deng, Shouyi Yin, 2018, IEEE Transactions on Circuits and Systems II: Express Briefs.

Shouyi Yin, Shaojun Wei, Weilong Zhang, 2013, Science China Information Sciences.

Shouyi Yin, Shaojun Wei, Leibo Liu, 2018, IEEE Transactions on Circuits and Systems I: Regular Papers.

Shouyi Yin, Shaojun Wei, Zhicong Xie, 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).