Voltage-Driven Partial-RESET Multilevel Programming in Phase-Change Memories

In this paper, the feasibility of partial-RESET programming in phase-change memories is experimentally investigated by considering both the single-cell behavior and the effects of parameter spreads over a memory array. The aim of this paper is to highlight advantages and drawbacks of partial-RESET programming from the viewpoint of multilevel (ML) storage. Although high reproducibility of a partial-RESET programming curve of a single cell has been observed, the parameter spreads over the array imply the need for a program-and-verify (P&V) approach to achieve the necessary accuracy for ML storage. In order to demonstrate the feasibility of partial-RESET ML programming, 4 log-spaced levels within the available resistance window have been programmed by means of a staircase-up P&V algorithm.

[1]  G. Torelli,et al.  An improved method for programming a word-erasable EEPROM , 1983 .

[2]  B. Vajdic,et al.  A 90-ns one-million erase/program cycle 1-Mbit flash memory , 1989 .

[3]  V. Weidenhof,et al.  Minimum time for laser induced amorphization of Ge2Sb2Te5 films , 2000 .

[4]  R. Bez,et al.  Phase-change memory technology for embedded applications , 2004, Proceedings of the 30th European Solid-State Circuits Conference (IEEE Cat. No.04EX850).

[5]  C. Wright,et al.  Models for phase-change of Ge2Sb2Te5 in optical and electrical memory devices , 2004 .

[6]  A. Pirovano,et al.  Low-field amorphous state resistance and threshold voltage drift in chalcogenide materials , 2004, IEEE Transactions on Electron Devices.

[7]  F. Pellizzer,et al.  Novel /spl mu/trench phase-change memory cell for embedded and stand-alone non-volatile memory applications , 2004, Digest of Technical Papers. 2004 Symposium on VLSI Technology, 2004..

[8]  Andrea L. Lacaita,et al.  Phase change memories: State-of-the-art, challenges and perspectives , 2005 .

[9]  D. Ielmini,et al.  Intrinsic Data Retention in Nanoscaled Phase-Change Memories—Part II: Statistical Analysis and Prediction of Failure Time , 2006, IEEE Transactions on Electron Devices.

[10]  Yi Zhang,et al.  Multi‐bit storage in reset process of Phase Change Access Memory (PRAM) , 2007 .

[11]  D. Ielmini,et al.  Recovery and Drift Dynamics of Resistance and Threshold Voltages in Phase-Change Memories , 2007, IEEE Transactions on Electron Devices.

[12]  Y.C. Chen,et al.  Write Strategies for 2 and 4-bit Multi-Level Phase-Change Memory , 2007, 2007 IEEE International Electron Devices Meeting.

[13]  Y.J. Song,et al.  Two-bit cell operation in diode-switch phase change memory cells with 90nm technology , 2008, 2008 Symposium on VLSI Technology.

[14]  S. Kostylev DRIFT OF PROGRAMMED RESISTANCE IN ELECTRICAL PHASE CHANGE MEMORY DEVICES , 2008 .

[15]  D. Ielmini,et al.  Distributed-Poole-Frenkel modeling of anomalous resistance scaling and fluctuations in phase-change memory (PCM) devices , 2009, 2009 IEEE International Electron Devices Meeting (IEDM).

[16]  Guido Torelli,et al.  A Bipolar-Selected Phase Change Memory Featuring Multi-Level Cell Storage , 2009, IEEE Journal of Solid-State Circuits.

[17]  S. Braga,et al.  Dependence of resistance drift on the amorphous cap size in phase change memory arrays , 2009 .

[18]  A. Cabrini,et al.  Voltage-Driven Multilevel Programming in Phase Change Memories , 2009, 2009 IEEE International Workshop on Memory Technology, Design, and Testing.

[19]  M. Breitwisch,et al.  Multilevel Phase-Change Memory Modeling and Experimental Characterization , 2009 .

[20]  A. Pirovano,et al.  A physics-based model of electrical conduction decrease with time in amorphous Ge2Sb2Te5 , 2009 .

[21]  D. Ielmini,et al.  Reliability Impact of Chalcogenide-Structure Relaxation in Phase-Change Memory (PCM) Cells—Part I: Experimental Study , 2009, IEEE Transactions on Electron Devices.

[22]  A. Pirovano,et al.  Statistical and scaling behavior of structural relaxation effects in phase-change memory (PCM) devices , 2009, 2009 IEEE International Reliability Physics Symposium.

[23]  Wei Xu,et al.  Using time-aware memory sensing to address resistance drift issue in multi-level phase change memory , 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

[24]  K. Gopalakrishnan,et al.  Phase change memory technology , 2010, 1001.1164.