Yiran Chen

发表

Yiran Chen, Edwin Hsing-Mean Sha, Shouzhen Gu, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Wei Xu, Tong Zhang, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yiran Chen, Yung-Hsiang Lu, Alexander C. Berg, 2018, AI Mag..

Yiran Chen, Wei Wang, Yi Liu, 2012, 2012 International Conference on Systems and Informatics (ICSAI2012).

Meng Zhang, Yiran Chen, Hai Li, 2018, J. Circuits Syst. Comput..

Hao Jiang, Yiran Chen, Qing Yang, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Yiran Chen, Danghui Wang, Wujie Wen, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Xian Zhang, Yun Liang, 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

Zhigang Zeng, Shiping Wen, Yiran Chen, 2013, J. Frankl. Inst..

Yiran Chen, Zhou Huang, Xia Peng, 2017, ISPRS Int. J. Geo Inf..

Yiran Chen, Chuandong Li, Ling Chen, 2018, Int. J. Bifurc. Chaos.

Yiran Chen, Xian Zhang, Guangyu Sun, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Jimeng Sun, Yuan Zhang, 2012, IEEE Transactions on Affective Computing.

Hao Jiang, Yiran Chen, Qing Wu, 2014, 2014 IEEE High Performance Extreme Computing Conference (HPEC).

Yiran Chen, Qing Wu, Garrett S. Rose, 2013, 2013 IEEE Symposium on Computational Intelligence for Security and Defense Applications (CISDA).

Yiran Chen, Hai Li, Ismail Bayram, 2017, IEEE Design & Test.

Yiran Chen, Jingtong Hu, Hai Li, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Jianhua Yang, Guangyu Sun, 2011, 2011 Proceedings of the Ninth IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Yiran Chen, Chun Jason Xue, Jian Zheng, 2012, DAC Design Automation Conference 2012.

Yu Wang, Yiran Chen, Huazhong Yang, 2013, International Symposium on Low Power Electronics and Design (ISLPED).

Yiran Chen, Xiaoxia Wu, Yuan Xie, 2008, 2008 45th ACM/IEEE Design Automation Conference.

Yiran Chen, Wujie Wen, Yaojun Zhang, 2012, IEEE Transactions on Magnetics.

Yiran Chen, Cheng-Kok Koh, Venkataramanan Balakrishnan, 2005, ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005..

Yiran Chen, Peng Li, Dimin Niu, 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

Yiran Chen, Zhan Ma, Xiang Chen, 2013, HotMobile '13.

Yiran Chen, Kai Bu, Danghui Wang, 2014, 2014 International Conference on Computing, Networking and Communications (ICNC).

Yiran Chen, Ping Li, Lixin Zhou, 2015, Int. J. High Perform. Comput. Netw..

Yiran Chen, Rajiv V. Joshi, Hai Li, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Xue Wang, Hai Li, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Lu Zhang, Wujie Wen, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Hai Li, Amr M. Hassan, 2017, 2017 International Joint Conference on Neural Networks (IJCNN).

Yiran Chen, Yaojun Zhang, Peiyuan Wang, 2012, 17th Asia and South Pacific Design Automation Conference.

Yiran Chen, Chunpeng Wu, Hsin-Pai Cheng, 2018, 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

Yiran Chen, Yu Wang, Hai Li, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Chenchen Liu, Hai Li, 2015, 2015 15th Non-Volatile Memory Technology Symposium (NVMTS).

Yiran Chen, Hai Li, Chaofei Yang, 2017 .

Yiran Chen, Xiang Chen, Kent W. Nixon, 2016, 2016 29th IEEE International System-on-Chip Conference (SOCC).

Yiran Chen, Zhi-Hong Mao, Mingui Sun, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Xuehai Qian, Hai Li, 2017, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Yiran Chen, Qiang Zhou, Zhaohao Wang, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Hai Li, Chunpeng Wu, 2016, IET Cyper-Phys. Syst.: Theory & Appl..

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2011, ISLPED '11.

Yiran Chen, Xiaobin Wang, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Yiran Chen, Kaushik Roy, Cheng-Kok Koh, 2006, Asia and South Pacific Conference on Design Automation, 2006..

Yiran Chen, Chun Jason Xue, Mengying Zhao, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Krishnendu Chakrabarty, Bonan Yan, 2019, 2019 IEEE International Test Conference (ITC).

Yiran Chen, Qing Wu, Chunpeng Wu, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2009, 2009 IEEE International Conference on Computer Design.

Yiran Chen, Xiaobin Wang, Hai Li, 2012, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yiran Chen, Xiaobin Wang, Hai Li, 2016, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

Yiran Chen, Kaushik Roy, Cheng-Kok Koh, 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

Yiran Chen, Danghui Wang, He-peng Liu, 2015, Journal of Central South University.

Yiran Chen, Xiaobin Wang, Alex K. Jones, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Cong Xu, Yiran Chen, Yuan Xie, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Xiaobin Wang, Yaojun Zhang, 2011, 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Hai Li, Wujie Wen, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Jianhua Li, Yanxiang He, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Zhihua Gan, Xianxing Liu, 2017, Neural Computing and Applications.

Yiran Chen, Xuebin Chi, Tianyi Wang, 2016, ICCS.

Wei Zhang, Yiran Chen, Tingwen Huang, 2013, 2013 50th ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2009, TACO.

Yiran Chen, Chun Jason Xue, Hai Li, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Yiran Chen, Fan Chen, Weisheng Zhao, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Haifeng Xu, Alex K. Jones, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yin Yang, Shiping Wen, Yiran Chen, 2019 .

Yiran Chen, Cheng-Kok Koh, Weng-Fai Wong, 2007, 2007 25th International Conference on Computer Design.

Yiran Chen, Ismail Bayram, Yiran Chen, 2014, 2014 IEEE Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Yiran Chen, Zhi-Hong Mao, Douglas J. Weber, 2016, 2016 38th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Hao Jiang, Yiran Chen, Qing Wu, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yiran Chen, Ximing Qiao, Chaofei Yang, 2019, IPSJ Trans. Syst. LSI Des. Methodol..

Rong Luo, Yu Wang, Yiran Chen, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Ismail Bayram, 2018, Integr..

Yiran Chen, Weisheng Zhao, Zheng Li, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Yu Wang, Yiran Chen, Yuan Xie, 2012, DAC Design Automation Conference 2012.

Yiran Chen, Hai Li, Yaojun Zhang, 2011, IEEE Transactions on Magnetics.

Yiran Chen, Jian Li, Yuan Xie, 2009, 2009 IEEE 15th International Symposium on High Performance Computer Architecture.

Yu Wang, Yiran Chen, Qinru Qiu, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Yuan Xie, Dimin Niu, 2012, Handbook of Energy-Aware and Green Computing.

Yiran Chen, Xiaobin Wang, Hai Li, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yiran Chen, You Wu, Xuehai Qian, 2019, CCF Transactions on High Performance Computing.

Yiran Chen, Hai Li, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Tei-Wei Kuo, Yiran Chen, Barbara De Salvo, 2017, IEEE Des. Test.

Yiran Chen, Zhuwei Qin, Zirui Xu, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Manisha Mishra, David Sidoti, Yiran Chen, 2015, IEEE Symposium on Computational Intelligence for Security and Defense Applications.

Hao Jiang, Yu Wang, Yiran Chen, 2016, IEEE Transactions on Circuits and Systems I: Regular Papers.

Cong Xu, Yiran Chen, Chunpeng Wu, 2017, 2017 IEEE International Conference on Computer Vision (ICCV).

Yiran Chen, Tsung-Yi Ho, Wei Wen, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Yu Wang, Yiran Chen, Hai Li, 2013, 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Xue Wang, Xiao Sun, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yiran Chen, Chang Song, Hai Li, 2017, 2017 IEEE 6th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Yiran Chen, Alex K. Jones, Guangyu Sun, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Hai Li, 2009, 2009 Design, Automation & Test in Europe Conference & Exhibition.

Yiran Chen, Zhihua Gan, Yushu Zhang, 2017, Signal Process..

Yiran Chen, Jiawen Li, Li Jiang, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Yiran Chen, Xiaobin Wang, Hai Li, 2008, 9th International Symposium on Quality Electronic Design (isqed 2008).

Yiran Chen, Jie Guo, Tao Cai, 2017, ACM Trans. Embed. Comput. Syst..

Yiran Chen, Yiran Chen, 2020 .

Yiran Chen, Xiaoqing Xu, Jiang Hu, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yiran Chen, Wei Xu, Tong Zhang, 2008, 2008 IEEE International Symposium on Circuits and Systems.

Yiran Chen, Xiaoxiao Liu, Mark Barnell, 2014, 2014 International Symposium on Integrated Circuits (ISIC).

Yiran Chen, Wenqing Wu, Hai Li, 2015, 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Hai Li, Xiaoxuan Yang, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yiran Chen, Jingtong Hu, Chengmo Yang, 2014, 2014 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Yiran Chen, Wei Liu, Kent Gauen, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Qing Wu, Chunpeng Wu, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Tao Zhang, Jason Cong, Yiran Chen, 2018, 2018 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Hao Jiang, Yu Wang, Yiran Chen, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Zili Shao, Danghui Wang, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Jiachen Mao, Christopher D. Krieger, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Yiran Chen, Zhaohao Wang, Hai Li, 2017, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Bing Li, Fan Chen, 2018, 2018 IEEE International Symposium on Circuits and Systems (ISCAS).

Ning Ge, Yiran Chen, Tao Liu, 2018, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Chenchen Liu, Hai Li, 2019, Handbook of Memristor Networks.

Yiran Chen, Ming Tong, Mengao Zhao, 2018, Neural Computing and Applications.

Yiran Chen, Xiaobin Wang, Hai Li, 2010, 2010 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Rong Luo, Yu Wang, Yiran Chen, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Jie Guo, Tao Cai, 2016, 2016 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Yiran Chen, Jiang Hu, Brucek Khailany, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Chang Song, Hai Li, 2016, 2016 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH).

Yiran Chen, Jianzhong Zhang, Xiang Chen, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Xiaobin Wang, Robinson E. Pino, 2011, 16th Asia and South Pacific Design Automation Conference (ASP-DAC 2011).

Yiran Chen, Wenqing Wu, Hai Li, 2017, Integr..

Yiran Chen, Naehyuck Chang, Chun Jason Xue, 2018, IEEE Design & Test.

Yiran Chen, Chun Jason Xue, Mengying Zhao, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Alex K. Jones, Yong Li, 2012, ISLPED '12.

Yiran Chen, Krishnendu Chakrabarty, Hai Li, 2019, 2019 IEEE International Electron Devices Meeting (IEDM).

Yiran Chen, Cheng-Kok Koh, Kaushik Roy, 2005, Proceedings of the IEEE 2005 Custom Integrated Circuits Conference, 2005..

Yiran Chen, Yaojun Zhang, Xiaobin Wang, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Chuandong Li, Tingwen Huang, 2017, Neurocomputing.

Yiran Chen, Kaushik Roy, Cheng-Kok Koh, 2010, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yu Wang, Yiran Chen, Huazhong Yang, 2014, 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Ning Ge, Yiran Chen, Hai Li, 2016, 2016 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Zhihua Gan, Daojun Han, 2018, Signal Process..

Yiran Chen, Xiaoxia Wu, Yuan Xie, 2011, IET Comput. Digit. Tech..

Yiran Chen, Qing Wu, Qinru Qiu, 2014, 2014 IEEE International Symposium on Circuits and Systems (ISCAS).

Yiran Chen, Jingtong Hu, Chen Pan, 2015, The 20th Asia and South Pacific Design Automation Conference.

Yiran Chen, Weisheng Zhao, Wujie Wen, 2015, 2015 IEEE 11th International Conference on ASIC (ASICON).

Yiran Chen, Xin Li, Tsung-Yi Ho, 2015, ACM Great Lakes Symposium on VLSI.

Yu Wang, Yiran Chen, Huazhong Yang, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Shiping Wen, Yiran Chen, Chuandong Li, 2015, ISNN.

Yiran Chen, Weisheng Zhao, Wenzhi Fu, 2018, 2018 International Conference on Field-Programmable Technology (FPT).

Yiran Chen, Yuan Xie, Dimin Niu, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Yiran Chen, Jeyavijayan Rajendran, Qing Wu, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Jingtong Hu, Danghui Wang, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Hai Li, Wujie Wen, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Xuehai Qian, Hai Li, 2017, 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA).

Yiran Chen, Zhuwei Qin, Zirui Xu, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Chaitali Chakrabarti, Chang Song, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Xin Liu, Yiran Chen, Hai Li, 2018, SafeAI@AAAI.

Yiran Chen, Xiang Chen, Kent W. Nixon, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Jun Yang, Youtao Zhang, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Kaushik Roy, Swarup Bhunia, 2004, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yiran Chen, Hai Li, Li Jiang, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Hai Li, Miao Hu, 2012, The 2012 International Joint Conference on Neural Networks (IJCNN).

Yiran Chen, Hai Li, Xuehai Qian, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Wujie Wen, Yaojun Zhang, 2014, IEEE Transactions on Magnetics.

Yiran Chen, Hai Li, Zheng Li, 2016, 2016 16th Non-Volatile Memory Technology Symposium (NVMTS).

Yiran Chen, Cheng-Kok Koh, Hong Li, 2007, 8th International Symposium on Quality Electronic Design (ISQED'07).

Yiran Chen, Wei Xu, Xiaobin Wang, 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yiran Chen, He Bai, Ming Tong, 2018, Neural Computing and Applications.

Yiran Chen, Weisheng Zhao, Runze Liu, 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Yushu Zhang, Jitong Zhang, 2020, Neural Computing and Applications.

Yiran Chen, Tingwen Huang, Beiye Liu, 2013, Neural Processing Letters.

Yiran Chen, Jun Yang, Youtao Zhang, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Xin Wang, Chuandong Li, 2013, Neural Computing and Applications.

Yiran Chen, Jie Xu, Dan Feng, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Yiran Chen, Robinson E. Pino, Hai Li, 2011, 2011 Design, Automation & Test in Europe.

Yiran Chen, Yiran Chen, 2011, Entropy.

Yu Wang, Yiran Chen, Guangyu Sun, 2012, ISLPED '12.

Yiran Chen, Cheng-Kok Koh, Hai Li, 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

Yiran Chen, Yu-Hung Huang, Guan-Qi Fang, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Xiang Chen, Kent W. Nixon, 2016, 2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Zhou Huang, 2015, 2015 23rd International Conference on Geoinformatics.

Yiran Chen, Jianhua Li, Liang Shi, 2013, 2013 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Wei Zhang, Yiran Chen, Hai Li, 2012, 17th Asia and South Pacific Design Automation Conference.

Yiran Chen, Qing Wu, Hai Li, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yiran Chen, Hai Li, Yaojun Zhang, 2011, IEEE Transactions on Magnetics.

Wei Zhang, Yiran Chen, Rajiv V. Joshi, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Hai Li, Matthew Inkawhich, 2019, AAMAS.

Qiang Feng, Yiran Chen, Bo Sun, 2017, Reliab. Eng. Syst. Saf..

Yiran Chen, Linghao Song, Fan Chen, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Hai Li, Bing Li, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Yiran Chen, 2015, GLSVLSI 2015.

Yu Wang, Yiran Chen, Huazhong Yang, 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Hai Li, Huanrui Yang, 2018, 2018 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Jiang Hu, Gi-Joon Nam, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Hao Jiang, Yiran Chen, Chenchen Liu, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Hai Li, Xiang Chen, 2017, 2017 30th IEEE International System-on-Chip Conference (SOCC).

Yiran Chen, Wen-Hui Chen, 2017, 2017 IEEE 19th International Conference on e-Health Networking, Applications and Services (Healthcom).

Yiran Chen, Yang Lu, Zhihua Gan, 2019, Signal Process..

Yiran Chen, Chenchen Liu, Xiaoxiao Liu, 2017, 2017 IEEE International Electron Devices Meeting (IEDM).

Yiran Chen, Jun Yang, Youtao Zhang, 2013, ACM Trans. Design Autom. Electr. Syst..

Yiran Chen, Chuandong Li, Jingmin Chen, 2017, IECON 2017 - 43rd Annual Conference of the IEEE Industrial Electronics Society.

Yiran Chen, Kaushik Roy, Swarup Bhunia, 2003, The Ninth International Symposium on High-Performance Computer Architecture, 2003. HPCA-9 2003. Proceedings..

Yiran Chen, Chuandong Li, Tingwen Huang, 2014, 2014 International Joint Conference on Neural Networks (IJCNN).

Yiran Chen, Hai Li, Yaojun Zhang, 2011, IEEE Transactions on Magnetics.

Yiran Chen, Wei Xu, Xiaobin Wang, 2010, 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010).

Yiran Chen, Jongsun Park, Hai Li, 2010, 2010 International SoC Design Conference.

Yiran Chen, Hai Li, Ismail Bayram, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Linghao Song, Fan Chen, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Jiachen Mao, Xiang Chen, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

George K. Thiruvathukal, Bo Fu, Chen Feng, 2018, ArXiv.

Yiran Chen, Jiachen Mao, Xiang Chen, 2016, 2016 International Symposium on Rapid System Prototyping (RSP).

Yiran Chen, Zhi-Hong Mao, Kang Li, 2013, 2013 18th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Tingwen Huang, Xin Li, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Alex K. Jones, Xiaoxiao Liu, 2014, 2014 19th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Yuan Xie, Dimin Niu, 2010, HPCA - 16 2010 The Sixteenth International Symposium on High-Performance Computer Architecture.

Yiran Chen, Chuandong Li, Tingwen Huang, 2016, Neurocomputing.

Yiran Chen, Chunpeng Wu, Hai Li, 2016, NIPS.

Yiran Chen, Bonan Yan, Enes Eken, 2015, SLIP.

Yiran Chen, Qing Wu, 2012, 2012 IEEE International SOC Conference.

Yiran Chen, Jiang Hu, Erick Carvajal Barboza, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Yiran Chen, Wujie Wen, Mengjie Mao, 2017, IEEE Transactions on Computers.

Yiran Chen, Xuehai Qian, Hai Li, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Qing Yang, Ang Li, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Yiran Chen, Tao Liu, Bing Li, 2019, ACM J. Emerg. Technol. Comput. Syst..

Yiran Chen, Yanqing Zhang, Jiang Hu, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Kaushik Roy, Dongku Kang, 2005, Sixth international symposium on quality electronic design (isqed'05).

Yiran Chen, Wujie Wen, Mengjie Mao, 2014, 2014 51st ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Chao Zhang, Robinson E. Pino, 2012, 2012 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Alex K. Jones, Donald Kline, 2016, 2016 Seventh International Green and Sustainable Computing Conference (IGSC).

Yiran Chen, Kaushik Roy, Cheng-Kok Koh, 2004, ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).

Yiran Chen, Qing Wu, Mark Barnell, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Jun Wang, Yiran Chen, Dan Huang, 2016, 2016 53nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Qing Wu, Jianhua Yang, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Hai Li, Xiaoxiao Liu, 2015, The 20th Asia and South Pacific Design Automation Conference.

Yiran Chen, Xiaobin Wang, 2009, 2009 IEEE/ACM International Symposium on Nanoscale Architectures.

Yiran Chen, Guangyu Sun, Lu Zhang, 2012, 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Lu Zhang, Yaojun Zhang, 2013, 2013 IEEE International Symposium on Circuits and Systems (ISCAS2013).

Yiran Chen, Ying Wang, Bing Li, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yu Wang, Yiran Chen, Miao Hu, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Guohe Zhang, Li Sun, 2020, Neurocomputing.

Yiran Chen, Shimeng Yu, Chenchen Liu, 2018, 2018 IEEE 36th VLSI Test Symposium (VTS).

Yiran Chen, Weisheng Zhao, Runze Liu, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Yiran Chen, Hai Li, Robinson E. Pino, 2012, DAC Design Automation Conference 2012.

Yiran Chen, Qing Wu, Qinru Qiu, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Qing Wu, Garrett S. Rose, 2014, IEEE Transactions on Neural Networks and Learning Systems.

Yiran Chen, Jun Yang, Youtao Zhang, 2011, 2011 International Conference on Parallel Architectures and Compilation Techniques.

Yiran Chen, Zili Shao, Danghui Wang, 2017, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yiran Chen, Wei Xu, Xiaobin Wang, 2010, 2010 ACM/IEEE International Symposium on Low-Power Electronics and Design (ISLPED).

Hao Jiang, Yiran Chen, Chenchen Liu, 2015, 2015 IEEE Symposium on Computational Intelligence for Security and Defense Applications (CISDA).

Yiran Chen, Hai Li, Bonan Yan, 2017, Science China Information Sciences.

Yiran Chen, Xian Zhang, Guangyu Sun, 2016, 2016 International Great Lakes Symposium on VLSI (GLSVLSI).

Yiran Chen, Fan Chen, Linghao Song, 2019, 2019 56th ACM/IEEE Design Automation Conference (DAC).

Yiran Chen, Tingwen Huang, Yin Yang, 2020, IEEE Transactions on Network Science and Engineering.

Yiran Chen, Jiang Hu, Hai Li, 2020, 2020 25th Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Chunpeng Wu, Sicheng Li, 2016, 2016 14th ACM/IEEE Symposium on Embedded Systems For Real-time Multimedia (ESTIMedia).

Yiran Chen, Chenchen Liu, Hai Li, 2016, 2016 IEEE International Conference on Rebooting Computing (ICRC).

Yiran Chen, Hai Li, Chunpeng Wu, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Yiran Chen, Xiaobin Wang, Hai Li, 2011, J. Low Power Electron..

Yiran Chen, Hai Li, Amr M. Hassan, 2018, 2018 40th Annual International Conference of the IEEE Engineering in Medicine and Biology Society (EMBC).

Jun Wang, Yiran Chen, Dan Huang, 2017, IEEE Transactions on Computers.

Yiran Chen, Shukai Duan, Gang Feng, 2014, 2014 International Joint Conference on Neural Networks (IJCNN).

Yiran Chen, Hai Li, Jie Guo, 2017, 2017 22nd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Kiyoung Choi, Weisheng Zhao, 2015, IEEE Transactions on Multi-Scale Computing Systems.

Yiran Chen, Alex K. Jones, Hai Li, 2013, TACO.

Yiran Chen, Zhi-Hong Mao, Xiang Chen, 2016, 2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Cheng-Kok Koh, Jing Li, 2007, Proceedings of the 2007 international symposium on Low power electronics and design (ISLPED '07).

Yiran Chen, Zhihua Gan, Xiuli Chai, 2019, Neural Computing and Applications.

Yu Wang, Yiran Chen, Yuan Xie, 2014, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Fan Chen, Chang Song, 2018, 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE).

Yiran Chen, Chenchen Liu, Hai Li, 2017, Design, Automation & Test in Europe Conference & Exhibition (DATE), 2017.

Yiran Chen, Peng Li, Guangyu Sun, 2016, IEEE Transactions on Computers.

Yiran Chen, Chunpeng Wu, Hai Li, 2017, 2017 IEEE Conference on Computer Vision and Pattern Recognition (CVPR).

Yiran Chen, Xiaobin Wang, Tong Zhang, 2009, 2009 IEEE Computer Society Annual Symposium on VLSI.

Yiran Chen, Wenqing Wu, Hai Li, 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

Yiran Chen, Linghao Song, Jingchi Zhang, 2019, 2019 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Hao Jiang, Yiran Chen, Chenchen Liu, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yiran Chen, Kaushik Roy, Cheng-Kok Koh, 2005, ISLPED '05. Proceedings of the 2005 International Symposium on Low Power Electronics and Design, 2005..

Yiran Chen, Tingwen Huang, Xin Li, 2014, 2014 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Xin Li, Tsung-Yi Ho, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Chun Jason Xue, Mengying Zhao, 2013, 2013 International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS).

Yiran Chen, Jingtong Hu, Danghui Wang, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Yiran Chen, Hai Li, Jie Guo, 2016, 2016 5th Non-Volatile Memory Systems and Applications Symposium (NVMSA).

Yiran Chen, Jimeng Sun, Yuan Zhang, 2010, 2010 IEEE International Conference on Data Mining.

Yiran Chen, Wujie Wen, Mengjie Mao, 2014, The 18th IEEE International Symposium on Consumer Electronics (ISCE 2014).

Yiran Chen, Chunpeng Wu, Hai Li, 2017, 2017 IEEE/ACM International Conference on Computer-Aided Design (ICCAD).

Yiran Chen, Jingtong Hu, Danghui Wang, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Jingtong Hu, Chen Pan, 2017, ACM Trans. Embed. Comput. Syst..

Yiran Chen, Xiaobin Wang, Hai Li, 2008, ISQED 2008.

Yiran Chen, Weisheng Zhao, Jianlei Yang, 2016, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Song Han, Yu Wang, 2017, 2017 IEEE 25th Annual International Symposium on Field-Programmable Custom Computing Machines (FCCM).

Yiran Chen, Bo Zeng, Chaosheng Dong, 2018, NeurIPS.

Yiran Chen, Zhi-Hong Mao, Kang Li, 2014, Network Science and Cybersecurity.

Yiran Chen, Chun Jason Xue, Xiang Chen, 2015, 2015 52nd ACM/EDAC/IEEE Design Automation Conference (DAC).

Zhigang Zeng, Shiping Wen, Yiran Chen, 2015, Neural Networks.

Cong Xu, Yiran Chen, Yuan Xie, 2010, Design Automation Conference.

Yiran Chen, Fan Chen, Linghao Song, 2018, 2018 23rd Asia and South Pacific Design Automation Conference (ASP-DAC).

Yiran Chen, Alex K. Jones, Yaojun Zhang, 2012, IEEE Embedded Systems Letters.

Yiran Chen, Qing Wu, Qinru Qiu, 2015, 2015 IEEE International Symposium on Circuits and Systems (ISCAS).

Yu Wang, Yiran Chen, Hai Li, 2016, 2016 IEEE International Symposium on Circuits and Systems (ISCAS).

Yiran Chen, Jianhua Li, Yanxiang He, 2015, IEEE Transactions on Computers.

Yiran Chen, Ru Huang, Guangyu Sun, 2020, 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD).

Yiran Chen, Tao Li, Zili Shao, 2012, 2012 IEEE Computer Society Annual Symposium on VLSI.

Yiran Chen, Chao Zhang, Xian Zhang, 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

Yiran Chen, Alex K. Jones, Hai Li, 2015, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

Yiran Chen, Xiaobin Wang, Wei Tian, 2010, 2010 11th International Symposium on Quality Electronic Design (ISQED).

Narayanan Vijaykrishnan, Yiran Chen, Paramvir Bahl, 2021, KDD.

Zhigang Zeng, Yiran Chen, Tingwen Huang, 2021, IEEE Trans. Circuits Syst. I Regul. Pap..

Yiran Chen, Damien Querlioz, J. Joshua Yang, 2021, ArXiv.

Yiran Chen, Hai Li, Wenzhong Zhu, 2011, IEEE Transactions on Magnetics.

Shiping Wen, Yiran Chen, Tingwen Huang, 2013 .