The Best of ICCAD

Formal hardware verification ranges from proving that two combinational circuits compute the same functions to the much more ambitious task of proving that a sequential circuit obeys some abstract property expressed in temporal logic. In tracing the history of work in this area, we find a few efforts in the 1970s and 1980s, with a big increase in verification capabilities the late 1980s up through today. The advent of efficient Boolean inference methods, starting with Binary Decision Diagrams (BDDs) and more recently with efficient Boolean satisfiabiIity (SAT) checkers has provided the enabling technology for these advances.

[1]  K. Madsen,et al.  Algorithms for worst-case tolerance optimization , 1979 .

[2]  Sujit Dey,et al.  Power management techniques for control-flow intensive designs , 1997, DAC.

[3]  Eric James Grimme,et al.  Krylov Projection Methods for Model Reduction , 1997 .

[4]  Louise Trevillyan,et al.  Functional comparison of logic designs for VLSI circuits , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[5]  Kurt Keutzer,et al.  Boolean minimization and algebraic factorization procedures for fully testable sequential machines , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[6]  Abbas El Gamal,et al.  Min-cut replication in partitioned networks , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[7]  Robert G. Meyer,et al.  Computer simulation of 1/f noise performance of electronic circuits , 1973 .

[8]  Jason Cong,et al.  Matching-based methods for high-performance clock routing , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[9]  Ronald A. Rohrer,et al.  Electronic Circuit and System Simulation Methods , 1994 .

[10]  Frank M. Johannes,et al.  Combining technology mapping with post-placement resynthesis for performance optimization , 1998, Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).

[11]  Stephen P. Boyd,et al.  Optimal design of a CMOS op-amp via geometric programming , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[12]  Sujit Dey,et al.  Performance Analysis and Optimization of Schedules for Conditional and Loop-Intensive Specifications , 1994, 31st Design Automation Conference.

[13]  Ibrahim M. Elfadel,et al.  Zeros and passivity of Arnoldi-reduced-order models for interconnect networks , 1997, DAC.

[14]  Philip N. Strenski,et al.  Gradient-based optimization of custom circuits using a static-timing formulation , 1999, DAC '99.

[15]  Ronald A. Rohrer,et al.  Adaptively controlled explicit simulation , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[16]  Roland W. Freund,et al.  Circuit noise evaluation by Pade approximation based model-reduction techniques , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[17]  H. Wallinga,et al.  SEAS: a simulated evolution approach for analog circuit synthesis , 1991, Proceedings of the IEEE 1991 Custom Integrated Circuits Conference.

[18]  Miodrag Potkonjak,et al.  Challenges and opportunities in broadband and wireless communication designs , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[19]  Rob A. Rutenbar,et al.  Analog circuit synthesis for performance in OASYS , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[20]  Anand Raghunathan,et al.  Efficient power co-estimation techniques for system-on-chip design , 2000, Proceedings Design, Automation and Test in Europe Conference and Exhibition 2000 (Cat. No. PR00537).

[21]  Wojciech Maly,et al.  VLSI Design for Manufacturing: Yield Enhancement , 1989 .

[22]  Youssef Saab,et al.  Combinatorial optimization by stochastic evolution , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[23]  Vishwani D. Agrawal,et al.  A transitive closure based algorithm for test generation , 1991, 28th ACM/IEEE Design Automation Conference.

[24]  Wojciech Maly,et al.  Computer-aided design for VLSI circuit manufacturability , 1990, Proc. IEEE.

[25]  Daniel Brand,et al.  Timing Analysis using Functional Relationships , 2003 .

[26]  Randal E. Bryant,et al.  Boolean Analysis of MOS Circuits , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  Edmund M. Clarke,et al.  Symbolic Model Checking: 10^20 States and Beyond , 1990, Inf. Comput..

[28]  William H. Kao,et al.  Algorithms for Automatic Transistor Sizing in CMOS Digital Circuits , 1985, 22nd ACM/IEEE Design Automation Conference.

[29]  Olivier Coudert,et al.  Automating the diagnosis and the rectification of design errors with PRIAM , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[30]  Peter B. Denyer,et al.  Synthesis of address generators , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[31]  Jacob K. White,et al.  A rank-one update method for efficient processing of interconnect parasitics in timing analysis , 2000, Proceedings 37th Design Automation Conference.

[32]  Luciano Lavagno,et al.  Automatic test bench generation for simulation-based validation , 2000, Proceedings of the Eighth International Workshop on Hardware/Software Codesign. CODES 2000 (IEEE Cat. No.00TH8518).

[33]  Daniel Brand Verification of large synthesized designs , 1993, ICCAD.

[34]  John P. Fishburn A depth-decreasing heuristic for combinational logic: or how to convert a ripple-carry adder into a carry-lookahead adder or anything in-between , 1991, DAC '90.

[35]  Peter Feldmann,et al.  Accurate and efficient evaluation of circuit yield and yield gradients , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[36]  K. J. Antreich,et al.  Nominal design of integrated circuits on circuit level by an interactive improvement method , 1988 .

[37]  Franco Fummi,et al.  Synthesis for Testability of Highly Complex Controllers by Functional Redundancy Removal , 1999, IEEE Trans. Computers.

[38]  Yutaka Tamiya Performance optimization using separator sets , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[39]  Fumihiro Maruyama Hardware Verification , 1985, Computer.

[40]  Dhiraj K. Pradhan,et al.  Synthesis of initializable asynchronous circuits , 1994, Proceedings of 7th International Conference on VLSI Design.

[41]  Toshiyuki Shibuya,et al.  Touch and cross router , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[42]  Ernest S. Kuh,et al.  VLSI circuit layout : theory and design , 1985 .

[43]  Ping Yang,et al.  Parametric yield optimization for MOS circuit blocks , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[44]  Ernest S. Kuh,et al.  Proud: a fast sea-of-gates placement algorithm , 1988, DAC '88.

[45]  Sharad Malik,et al.  Power analysis of embedded software: a first step towards software power minimization , 1994, IEEE Trans. Very Large Scale Integr. Syst..

[46]  Srivaths Ravi,et al.  Efficient RTL power estimation for large designs , 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

[47]  Orna Grumberg,et al.  Research on Automatic Verification of Finite-State Concurrent Systems , 1987 .

[48]  Lawrence T. Pileggi,et al.  Performance computation for precharacterized CMOS gates with RC loads , 1996, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[49]  P. Toint,et al.  Global convergence of a class of trust region algorithms for optimization with simple bounds , 1988 .

[50]  Jacob K. White,et al.  FastCap: a multipole accelerated 3-D capacitance extraction program , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[51]  Gustavo de Veciana,et al.  Exploring performance tradeoffs for clustered VLIW ASIPs , 2000, ICCAD.

[52]  Sudhakar M. Reddy,et al.  On the design of robust multiple fault testable CMOS combinational logic circuits , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[53]  Derick Wood,et al.  An Optimal Worst Case Algorithm for Reporting Intersections of Rectangles , 1980, IEEE Transactions on Computers.

[54]  Alberto L. Sangiovanni-Vincentelli,et al.  Multiple-Valued Minimization for PLA Optimization , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[55]  K. Suyama,et al.  Noise analysis of ideal switched-capacitor networks , 1999 .

[56]  Sharad Malik,et al.  Exploiting multi-cycle false paths in the performance optimization of sequential circuits , 1992, ICCAD.

[57]  C. D. Gelatt,et al.  Optimization by Simulated Annealing , 1983, Science.

[58]  Giovanni De Micheli,et al.  Synthesis and Optimization of Digital Circuits , 1994 .

[59]  Kazutoshi Wakabayashi,et al.  C-based synthesis experiences with a behavior synthesizer, "Cyber" , 1999, Design, Automation and Test in Europe Conference and Exhibition, 1999. Proceedings (Cat. No. PR00078).

[60]  Kanwar Jit Singh Performance optimization of digital circuits , 1992 .

[61]  Mohamed I. Elmasry,et al.  STAIC: an interactive framework for synthesizing CMOS and BiCMOS analog circuits , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[62]  Franco P. Preparata,et al.  Plane-sweep algorithms for intersecting geometric figures , 1982, CACM.

[63]  Naotaka Maeda,et al.  Post-layout optimization for deep submicron design , 1996, DAC '96.

[64]  Todd J. Wagner Verification of hardware designs thru symbolic manipulation , 1977, DAC 1977.

[65]  Majid Sarrafzadeh,et al.  Dragon2000: standard-cell placement tool for large industry circuits , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[66]  Nam Sung Woo A heuristic method for FPGA technology mapping based on the edge visibility , 1991, 28th ACM/IEEE Design Automation Conference.

[67]  P.R. Gray,et al.  MOS operational amplifier design-a tutorial overview , 1982, IEEE Journal of Solid-State Circuits.

[68]  Randal E. Bryant Extraction of gate level models from transistor circuits by four-valued symbolic analysis , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[69]  Kenneth M. Butler,et al.  Scan-based transition fault testing - implementation and low cost test challenges , 2002, Proceedings. International Test Conference.

[70]  Kwang-Ting Cheng,et al.  Delay testing considering crosstalk-induced effects , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[71]  Hugo De Man,et al.  Compiling multi-dimensional data streams into distributed DSP ASIC memory , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[72]  Leon I. Maissel,et al.  An Introduction to Array Logic , 1975, IBM J. Res. Dev..

[73]  Alberto L. Sangiovanni-Vincentelli,et al.  CADICS-cyclic analog-to-digital converter synthesis , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[74]  Melvin A. Breuer,et al.  Configuring multiple scan chains for minimum test time , 1992, ICCAD.

[75]  Huang,et al.  AN EFFICIENT GENERAL COOLING SCHEDULE FOR SIMULATED ANNEALING , 1986 .

[76]  A.L. Sangiovanni-Vincentelli,et al.  A survey of optimization techniques for integrated-circuit design , 1981, Proceedings of the IEEE.

[77]  Robert K. Brayton,et al.  Timing analysis and delay-fault test generation using path-recursive functions , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[78]  Claude E. Shannon,et al.  A symbolic analysis of relay and switching circuits , 1938, Transactions of the American Institute of Electrical Engineers.

[79]  Robert K. Brayton,et al.  Performance-oriented technology mapping , 1990 .

[80]  Ralph H. J. M. Otten,et al.  Automatic Floorplan Design , 1982, 19th Design Automation Conference.

[81]  Eduard Cerny,et al.  Tautology checking using cross-controllability and cross-observability relations , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[82]  Sujit Dey,et al.  High-level synthesis of multi-process behavioral descriptions , 2003, 16th International Conference on VLSI Design, 2003. Proceedings..

[83]  Takeshi Yoshimura An Efficient Channel Router , 1984, 21st Design Automation Conference Proceedings.

[84]  J. Litsios,et al.  ILAC: an automated layout tool for analog CMOS circuits , 1989 .

[85]  Jason Cong,et al.  Large scale circuit partitioning with loose/stable net removal and signal flow based clustering , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[86]  Utz G. Baitinger,et al.  CARLOS: an automated multilevel logic design system for CMOS semi-custom integrated circuits , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[87]  A.L. Sangiovanni-Vincentelli,et al.  Wireplanning in logic synthesis , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[88]  Kye S. Hedlund Electrical Optimization of PLAs , 1985, 22nd ACM/IEEE Design Automation Conference.

[89]  Jan M. Rabaey,et al.  Cathedral II: A Synthesis and Module Generation System for Multiprocessor Systems on a Chip , 1987 .

[90]  Hiroyuki Higuchi,et al.  Lazy group sifting for efficient symbolic state traversal of FSMs , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[91]  Robert C. Aitken An Overview of Test Synthesis Tools , 1995, IEEE Des. Test Comput..

[92]  Janusz A. Brzozowski,et al.  On the Delay-Sensitivity of Gate Networks , 1992, IEEE Trans. Computers.

[93]  Kazutoshi Wakabayashi,et al.  C - based high - level synthesis system, CYBER - Design experience , 2000 .

[94]  Albert E. Ruehli,et al.  Survey of computer-aided electrical analysis of integrated circuit interconnections , 1979 .

[95]  Helmut Simonis,et al.  Using Logic Programming for Fault Diagnosis in Digital Circuits , 1987, GWAI.

[96]  C. E. Leiserson,et al.  Optimal Retiming of Multi-Phase, Level-Clocked Circuits , 1991 .

[97]  Chantal Ykman-Couvreur,et al.  PHIFACT-a Boolean preprocessor for multi-level logic synthesis , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[98]  Sujit Dey,et al.  A low overhead design for testability and test generation technique for core-based systems , 1997, Proceedings International Test Conference 1997.

[99]  James B. Beyer,et al.  MESFET Distributed Amplifier Design Guidelines , 1984 .

[100]  John W. Bandler,et al.  Circuit optimization: the state of the art , 1988 .

[101]  Yahiko Kambayashi,et al.  The Transduction Method-Design of Logic Networks Based on Permissible Functions , 1989, IEEE Trans. Computers.

[102]  Alain J. Martin The limitations to delay-insensitivity in asynchronous circuits , 1990 .

[103]  D. M. H. Walker,et al.  Resistive bridge fault modeling, simulation and test generation , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[104]  E. Polak Introduction to linear and nonlinear programming , 1973 .

[105]  Karem A. Sakallah,et al.  Static timing analysis , 2001 .

[106]  C.T. Chuang Analysis of the settling behavior of an operational amplifier , 1982, IEEE Journal of Solid-State Circuits.

[107]  Jörg Henkel,et al.  Rapid configuration and instruction selection for an ASIP: a case study , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[108]  Sujit Dey,et al.  Controller re-specification to minimize switching activity in controller/data path circuits , 1996, ISLPED.

[109]  Sujit Dey,et al.  Resynthesis and Retiming for Optimum Partial Scan , 1994, 31st Design Automation Conference.

[110]  M. Ray Mercer,et al.  Defect-Oriented Testing and Defective-Part-Level Prediction , 2001, IEEE Des. Test Comput..

[111]  Alberto L. Sangiovanni-Vincentelli,et al.  Partitioned ROBDDs-a compact, canonical and efficiently manipulable representation for Boolean functions , 1996, Proceedings of International Conference on Computer Aided Design.

[112]  Michel S. Nakhla,et al.  Analysis of interconnect networks using complex frequency hopping (CFH) , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[113]  Anand Raghunathan,et al.  Bottleneck removal algorithm for dynamic compaction in sequential circuits , 1997, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[114]  John A. Darringer The application of program verification techniques to hardware verification , 1979, DAC.

[115]  Giri Tiruvuri,et al.  Estimation of lower bounds in scheduling algorithms for high-level synthesis , 1998, TODE.

[116]  Robert W. Brodersen Anatomy of a Silicon Compiler , 1992 .

[117]  Jan Tijmen Udding,et al.  A formal model for defining and classifying delay-insensitive circuits and systems , 1986, Distributed Computing.

[118]  Paul Losleben,et al.  Topological Analysis for VLSI Circuits , 1979, 16th Design Automation Conference.

[119]  Kwang-Ting Cheng,et al.  Primitive delay faults: identification, testing, and design for testability , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[120]  Alberto Sangiovanni-Vincentelli,et al.  Nonlinear Circuit Simulation in the Frequency-Domain , 2003 .

[121]  Mattan Kamon,et al.  Efficient techniques for inductance extraction of complex 3-D geometries , 1992, ICCAD '92.

[122]  Randal E. Bryant,et al.  Mapping switch-level simulation onto gate-level hardware accelerators , 1991, 28th ACM/IEEE Design Automation Conference.

[123]  Fumihiro Maruyama,et al.  A Verification Technique for Hardware Designs , 1982, 19th Design Automation Conference.

[124]  Niraj K. Jha,et al.  A BIST scheme for RTL controller-data paths based on symbolic testability analysis , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[125]  Vishwani D. Agrawal,et al.  An exact algorithm for selecting partial scan flip-flops , 1994, 31st Design Automation Conference.

[126]  S. M. Reddy,et al.  A test generation system for path delay faults , 1989, Proceedings 1989 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[127]  Y. Kuroda,et al.  NECoBus: a high-end SOC bus with a portable and low-latency wrapper-based interface mechanism , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).

[128]  Robert B. Hitchcock,et al.  Timing Analysis of Computer Hardware , 1982, IBM J. Res. Dev..

[129]  Paul Penfield,et al.  Signal Delay in RC Tree Networks , 1981, 18th Design Automation Conference.

[130]  J. Phillips,et al.  Noise in mixers, oscillators, samplers, and logic an introduction to cyclostationary noise , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[131]  Joao Marques-Silva,et al.  An analysis of path sensitization criteria , 1993, Proceedings of 1993 IEEE International Conference on Computer Design ICCD'93.

[132]  E. Berkcan,et al.  Analog compilation based on successive decompositions , 1988, DAC '88.

[133]  R. Rohrer,et al.  Passivity considerations in stability studies of numerical integration algorithms , 1981 .

[134]  Niraj K. Jha,et al.  Design of Testable CMOS Logic Circuits Under Arbitrary Delays , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[135]  Alberto L. Sangiovanni-Vincentelli,et al.  Time-domain non-Monte Carlo noise simulation for nonlinear dynamic circuits with arbitrary excitations , 1994, ICCAD '94.

[136]  John A. Darringer,et al.  A New Look at Logic Synthesis , 1980, 17th Design Automation Conference.

[137]  A. Abidi,et al.  Physical processes of phase noise in differential LC oscillators , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[138]  Rob A. Rutenbar,et al.  Integer programming based topology selection of cell-level analog circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[139]  Robert W. Brodersen,et al.  Design of system interface modules , 1992, ICCAD.

[140]  Franklin Fa-Kun Kuo,et al.  Network analysis and synthesis , 1962 .

[141]  Ren-Song Tsay,et al.  Robin Hood: a system timing verifier for multi-phase level-sensitive clock designs , 1992, [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.

[142]  Jacob K. White,et al.  Efficient Steady-State Analysis Based on Matrix-Free Krylov-Subspace Methods , 1995, 32nd Design Automation Conference.

[143]  Yici Cai,et al.  Corner block list: an effective and efficient topological representation of non-slicing floorplan , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[144]  David Hung-Chang Du,et al.  On the General False Path Problem in Timing Analysis , 1989, 26th ACM/IEEE Design Automation Conference.

[145]  Michael Burstein,et al.  Timing Influenced Layout Design , 1985, 22nd ACM/IEEE Design Automation Conference.

[146]  Hilary Putnam,et al.  A Computing Procedure for Quantification Theory , 1960, JACM.

[147]  Ronald A. Rohrer,et al.  SPECS simulation validation with efficient transient sensitivity computation , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[148]  Frank M. Johannes,et al.  Generic global placement and floorplanning , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[149]  Jörg Henkel,et al.  Evaluating power consumption of parameterized cache and bus architectures in system-on-a-chip designs , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[150]  Andrew T. Yang,et al.  Preservation of passivity during RLC network reduction via split congruence transformations , 1997, DAC.

[151]  Rajeev Murgai,et al.  Improved logic synthesis algorithms for table look up architectures , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[152]  Henry S. Baird Fast algorithms for LSI artwork analysis , 1977, DAC '77.

[153]  Nagisa Ishiura,et al.  Shared binary decision diagram with attributed edges for efficient Boolean function manipulation , 1990, 27th ACM/IEEE Design Automation Conference.

[154]  Jean Christophe Madre,et al.  Proving circuit correctness using formal comparison between expected and extracted behaviour , 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

[155]  Stephen A. Cook,et al.  The complexity of theorem-proving procedures , 1971, STOC.

[156]  Ronald A. Rohrer,et al.  SPECS2: AN INTEGRATED CIRCUIT TIMING SIMULATOR* , 2003 .

[157]  Nur A. Touba,et al.  Automated logic synthesis of random pattern testable circuits , 1994, Proceedings., International Test Conference.

[158]  Uri M. Ascher,et al.  Model and solution strategy for placement of rectangular blocks in the Euclidean plane , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[159]  M. M. Hasan,et al.  KANSYS: a CAD tool for analog circuit synthesis , 1996, Proceedings of 9th International Conference on VLSI Design.

[160]  B. Heise,et al.  A 12-bit sigma-delta analog-to-digital converter with a 15-MHz clock rate , 1986 .

[161]  Teresa H. Y. Meng,et al.  Automatic gate-level synthesis of speed-independent circuits , 1992, ICCAD '92.

[162]  Fadi J. Kurdahi,et al.  Partitioning by regularity extraction , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[163]  Mark Horowitz,et al.  Timing Models for MOS Circuits , 1983 .

[164]  Robert K. Brayton,et al.  Planning for performance , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[165]  E. E. Dweck,et al.  Theory of Linear Active Networks , 1968 .

[166]  Sujit Dey,et al.  Transformations and resynthesis for testability of RT-level control-data path specifications , 1993, IEEE Trans. Very Large Scale Integr. Syst..

[167]  Thomas J. Chaney,et al.  Q-Modules: Internally Clocked Delay-Insensitive Modules , 1988, IEEE Trans. Computers.

[168]  Emile H. L. Aarts,et al.  Area optimization of multi-functional processing units , 1992, ICCAD.

[169]  Rajendran Panda,et al.  On-chip inductance modeling and analysis , 2000, Proceedings 37th Design Automation Conference.

[170]  Frank Vahid,et al.  Interface and cache power exploration for core-based embedded system design , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[171]  Norman P. Jouppi,et al.  Timing Analysis and Performance Improvement of MOS VLSI Designs , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[172]  Gaetano Borriello,et al.  Interface co-synthesis techniques for embedded systems , 1995, ICCAD.

[173]  Mike Tien-Chien Lee,et al.  Power analysis of a 32-bit embedded microcontroller , 1995, Proceedings of ASP-DAC'95/CHDL'95/VLSI'95 with EDA Technofair.

[174]  Jörg Henkel,et al.  Avalanche: an environment for design space exploration and optimization of low-power embedded systems , 2002, IEEE Trans. Very Large Scale Integr. Syst..

[175]  Yoji Kajitani,et al.  Rectangle-packing-based module placement , 1995, ICCAD.

[176]  Bing J. Sheu,et al.  A knowledge-based approach to analog IC design , 1988 .

[177]  Wojciech Maly,et al.  Design for manufacturability in submicron domain , 1996, Proceedings of International Conference on Computer Aided Design.

[178]  Srivaths Ravi,et al.  A framework for testing core-based systems-on-a-chip , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[179]  A. Taflove,et al.  The use of SPICE lumped circuits as sub-grid models for FDTD analysis , 1994, IEEE Microwave and Guided Wave Letters.

[180]  Helmut Graeb,et al.  Circuit optimization driven by worst-case distances , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[181]  Ganesh Gopalakrishnan,et al.  Performance analysis and optimization of asynchronous circuits , 1994, Proceedings 1994 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[182]  Chung-Kuan Cheng,et al.  Ratio cut partitioning for hierarchical designs , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[183]  Kunle Olukotun,et al.  Analysis and design of latch-controlled synchronous digital circuits , 1990, DAC '90.

[184]  Michael Boehner,et al.  LOGEX-an automatic logic extractor from transistor to gate level for CMOS technology , 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

[185]  Krzysztof Wawryn An Artificial Intelligence Approach to Analog Circuit Design , 1991, J. Circuits Syst. Comput..

[186]  P. Six,et al.  Cathedral-II: A Silicon Compiler for Digital Signal Processing , 1986, IEEE Design & Test of Computers.

[187]  Norman P. Jouppi,et al.  Timing Analysis for nMOS VLSI , 1983, 20th Design Automation Conference Proceedings.

[188]  Saburo Muroga,et al.  VLSI system design , 1982 .

[189]  Gary D. Hachtel,et al.  BOLD: The Boulder Optimal Logic Design system , 1989, [1989] Proceedings of the Twenty-Second Annual Hawaii International Conference on System Sciences. Volume 1: Architecture Track.

[190]  Duncan M. Walker Yield simulation for integrated circuits , 1987 .

[191]  Kamran Eshraghian,et al.  Principles of CMOS VLSI Design: A Systems Perspective , 1985 .

[192]  Jörg Henkel,et al.  An adaptive dictionary encoding scheme for SOC data buses , 2002, Proceedings 2002 Design, Automation and Test in Europe Conference and Exhibition.

[193]  Sujit Dey,et al.  Clock Period Optimization During Resource Sharing and Assignment , 1994, 31st Design Automation Conference.

[194]  Gotaro Odawara,et al.  A Logic Verifier Based on Boolean Comparison , 1986, 23rd ACM/IEEE Design Automation Conference.

[195]  Jo C. Ebergen,et al.  A formal approach to designing delay-insensitive circuits , 1991, Distributed Computing.

[196]  E BryantRandal Graph-Based Algorithms for Boolean Function Manipulation , 1986 .

[197]  Kenneth L. Shepard,et al.  Return-limited inductances: a practical approach to on-chip inductance extraction , 1999, Proceedings of the IEEE 1999 Custom Integrated Circuits Conference (Cat. No.99CH36327).

[198]  Konrad Doll,et al.  Partitioning Very Large Circuits Using Analytical Placement Techniques , 1994, 31st Design Automation Conference.

[199]  Melvin A. Breuer,et al.  Digital systems testing and testable design , 1990 .

[200]  Vishwani D. Agrawal,et al.  Combinational ATPG theorems for identifying untestable faults in sequential circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[201]  Mattan Kamon,et al.  Efficient Reduced-Order Modeling of Frequency-Dependent Coupling Inductances associated with 3-D Interconnect Structures , 1995, 32nd Design Automation Conference.

[202]  Vishwani D. Agrawal,et al.  Logic simulation and parallel processing , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[203]  Vishwani D. Agrawal,et al.  A transitive closure algorithm for test generation , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[204]  Olivier Coudert,et al.  Verification of Synchronous Sequential Machines Based on Symbolic Execution , 1989, Automatic Verification Methods for Finite State Systems.

[205]  L. M. Terman,et al.  A 1 mV MOS Comparator , 1977, ESSCIRC '77: 3rd European Solid State Circuits Conference.

[206]  Andrew T. Yang,et al.  Stable and efficient reduction of substrate model networks using congruence transforms , 1995, ICCAD.

[207]  Michael D. Ciletti,et al.  QUIETEST: a quiescent current testing methodology for detecting leakage faults , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[208]  Andrzej J. Strojwas,et al.  Delay fault coverage: a realistic metric and an estimation technique for distributed path delay faults , 1996, Proceedings of International Conference on Computer Aided Design.

[209]  Robert K. Brayton,et al.  Area and search space control for technology mapping , 2000, Proceedings 37th Design Automation Conference.

[210]  A. Demir,et al.  Phase noise in oscillators: a unifying theory and numerical methods for characterization , 2000 .

[211]  Shigenobu Suzuki,et al.  Timing optimization on mapped circuits , 1991, 28th ACM/IEEE Design Automation Conference.

[212]  Alberto L. Sangiovanni-Vincentelli,et al.  A methodology for correct-by-construction latency insensitive design , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[213]  Wai Tung Ng,et al.  High-speed high-resolution CMOS voltage comparator , 1986 .

[214]  Sudhakar M. Reddy,et al.  On Delay Fault Testing in Logic Circuits , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[215]  E. Clarke,et al.  Verifying Safety Properties of a PowerPC TM 1 Microprocessor Using Symbolic Model Checking without BDDs , 1999 .

[216]  Alberto L. Sangiovanni-Vincentelli,et al.  ECSTASY: a new environment for IC design optimization , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[217]  K. S. Kundert,et al.  Introduction to RF simulation and its application , 1998, Proceedings of the 1998 Bipolar/BiCMOS Circuits and Technology Meeting (Cat. No.98CH36198).

[218]  Masahiro Fujita,et al.  Sampling schemes for computing OBDD variable orderings , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[219]  Ing-Jer Huang,et al.  Generating instruction sets and microarchitectures from applications , 1994, ICCAD.

[220]  Thomas G. Szymanski,et al.  Computing optimal clock schedules , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[221]  Arvind Srinivasan,et al.  Verity - A formal verification program for custom CMOS circuits , 1995, IBM J. Res. Dev..

[222]  David S. Johnson,et al.  Computers and Intractability: A Guide to the Theory of NP-Completeness , 1978 .

[223]  Steven M. Nowick,et al.  Asynchronous Circuit Design: Motivation, Background, & Methods , 1995 .

[224]  Rob A. Rutenbar,et al.  Computer-aided design of analog and mixed-signal integrated circuits , 2000, Proceedings of the IEEE.

[225]  Robert G. Meyer,et al.  Analysis and Design of Analog Integrated Circuits , 1993 .

[226]  A. Sangiovanni-Vincentelli,et al.  The TimberWolf placement and routing package , 1985, IEEE Journal of Solid-State Circuits.

[227]  Robert K. Brayton,et al.  Don't cares and global flow analysis of Boolean networks , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[228]  J.R. Burch,et al.  Tight integration of combinational verification methods , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[229]  P. Toint,et al.  A globally convergent augmented Lagrangian algorithm for optimization with general constraints and simple bounds , 1991 .

[230]  Sharad Malik,et al.  Performance estimation of embedded software with instruction cache modeling , 1995, ICCAD.

[231]  A.L. Sangiovanni-Vincentelli,et al.  Behavioral simulation techniques for phase/delay-locked systems , 1994, Proceedings of IEEE Custom Integrated Circuits Conference - CICC '94.

[232]  Sujit Dey,et al.  Glitch analysis and reduction in register transfer level power optimization , 1996, DAC '96.

[233]  Srivaths Ravi,et al.  Optimizing public-key encryption for wireless clients , 2002, 2002 IEEE International Conference on Communications. Conference Proceedings. ICC 2002 (Cat. No.02CH37333).

[234]  Arvind Srinivasan,et al.  RITUAL: a performance driven placement algorithm for small cell ICs , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[235]  Alain Greiner,et al.  DESB, a functional abstractor for CMOS VLSI circuits , 1992, Proceedings EURO-DAC '92: European Design Automation Conference.

[236]  Melvin A. Breuer,et al.  Test generation for crosstalk-induced delay in integrated circuits , 1999, International Test Conference 1999. Proceedings (IEEE Cat. No.99CH37034).

[237]  Andrew B. Kahng,et al.  Fast spectral methods for ratio cut partitioning and clustering , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[238]  Chung-Kuan Cheng,et al.  Linear placement algorithms and applications to VLSI design , 1987, Networks.

[239]  Michael J. Tsuk Propagation and interference in lossy microelectronic integrated circuits , 1990 .

[240]  Alberto Sangiovanni-Vincentelli,et al.  Optimization-based transistor sizing , 1988 .

[241]  Jason Cong,et al.  Net partitions yield better module partitions , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[242]  Kurt Keutzer,et al.  Estimation of average switching activity in combinational and sequential circuits , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[243]  Wendell E. Cory Symbolic Simulation for Functional Verification with ADLIB and SDL , 1981, 18th Design Automation Conference.

[244]  Georg Sigl,et al.  GORDIAN: a new global optimization/rectangle dissection method for cell placement , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[245]  ASHUTOSH MUJUMDAR,et al.  Incorporating testability considerations in high-level synthesis , 1994, J. Electron. Test..

[246]  Eric A. Vittoz,et al.  IDAC: an interactive design tool for analog CMOS circuits , 1987 .

[247]  Michel Dagenais,et al.  McBOOLE: A New Procedure for Exact Logic Minimization , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[248]  Luciano Lavagno,et al.  System-level test bench generation in a co-design framework , 2000, Proceedings IEEE European Test Workshop.

[249]  Lynn Conway,et al.  Introduction to VLSI systems , 1978 .

[250]  Jörg Henkel,et al.  I-CoPES: fast instruction code placement for embedded systems to improve performance and energy efficiency , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[251]  Robert K. Brayton,et al.  Sequential circuit design using synthesis and optimization , 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.

[252]  Jason Cong,et al.  High-performance clock routing based on recursive geometric matching , 1991, 28th ACM/IEEE Design Automation Conference.

[253]  David S. Johnson,et al.  Representing Boolean Functions with If-Then-Else DAGs , 1988 .

[254]  David Blaauw,et al.  Automatic classification of node types in switch-level descriptions , 1990, Proceedings., 1990 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[255]  Emile H. L. Aarts,et al.  Efficiency improvements for force-directed scheduling , 1992, ICCAD.

[256]  Robert K. Brayton,et al.  Equivalence of robust delay-fault and single stuck-fault test generation , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[257]  R. A. Rohrer Circuit partitioning simplified , 1988 .

[258]  Jonathan Rose,et al.  A detailed router for field-programmable gate arrays , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[259]  Jorge J. Moré,et al.  Recent Developments in Algorithms and Software for Trust Region Methods , 1982, ISMP.

[260]  A.S. Sedra,et al.  Analog MOS integrated circuits for signal processing , 1987, Proceedings of the IEEE.

[261]  Jörg Henkel,et al.  Code compression for low power embedded system design , 2000, Proceedings 37th Design Automation Conference.

[262]  Robert K. Brayton,et al.  Heuristic Minimization of BDDs Using Don't Cares , 1994, 31st Design Automation Conference.

[263]  Carl Pixley A Computation Theory and Implementation of Sequential Hardware Equivalence , 1990, CAV.

[264]  J. Kettenis,et al.  A video signal processor for motion-compensated field-rate upconversion in consumer television , 1996, 1996 IEEE International Solid-State Circuits Conference. Digest of TEchnical Papers, ISSCC.

[265]  Jörg Henkel A low power hardware/software partitioning approach for core-based embedded systems , 1999, DAC '99.

[266]  G. Boole An Investigation of the Laws of Thought: On which are founded the mathematical theories of logic and probabilities , 2007 .

[267]  C. Y. Lee Representation of switching circuits by binary-decision programs , 1959 .

[268]  Sujit Dey,et al.  Fast performance analysis of bus-based system-on-chip communication architectures , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[269]  Darrell A. Teegarden,et al.  An Interactive Device Characterization and Model Development System , 2003 .

[270]  Hakan Yalcin,et al.  Fast and Accurate Timing Characterization Using Functional Information , 2001 .

[271]  Louise Trevillyan,et al.  Global Flow Analysis in Automatic Logic Design , 1986, IEEE Transactions on Computers.

[272]  Kurt Keutzer,et al.  Synthesis of robust delay-fault-testable circuits: theory , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[273]  Robert K. Brayton,et al.  Timing optimization with testability considerations , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[274]  Miodrag Potkonjak,et al.  Sequential Circuit Delay Optimization Using Global Path Delays , 1993, 30th ACM/IEEE Design Automation Conference.

[275]  R. M. Mattheyses,et al.  A Linear-Time Heuristic for Improving Network Partitions , 1982, 19th Design Automation Conference.

[276]  Vivek Tiwari Logic and system design for low power consumption , 1996 .

[277]  John K. Ousterhout Switch-Level Delay Models for Digital MOS VLSI , 1984, 21st Design Automation Conference Proceedings.

[278]  Rajeev Murgai On the global fanout optimization problem , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[279]  R. L. Wadsack,et al.  Fault modeling and logic simulation of CMOS and MOS integrated circuits , 1978, The Bell System Technical Journal.

[280]  Kurt Keutzer,et al.  On properties of algebraic transformation and the multifault testability of multilevel logic , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[281]  Takeshi Yoshimura,et al.  New placement and global routing algorithms for standard cell layouts , 1991, DAC '90.

[282]  Jacob K. White,et al.  A fast multipole algorithm for capacitance extraction of complex 3-D geometries , 1989, 1989 Proceedings of the IEEE Custom Integrated Circuits Conference.

[283]  K. Wakabayashi,et al.  A dynamically reconfigurable logic engine with a multi-context/multi-mode unified-cell architecture , 1999, 1999 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. ISSCC. First Edition (Cat. No.99CH36278).

[284]  William J. Dally,et al.  A bandwidth-efficient architecture for media processing , 1998, Proceedings. 31st Annual ACM/IEEE International Symposium on Microarchitecture.

[285]  Jaijeet S. Roychowdhury,et al.  A new linear-time harmonic balance algorithm for cyclostationary noise analysis in RF circuits , 1997, Proceedings of ASP-DAC '97: Asia and South Pacific Design Automation Conference.

[286]  S. K. Mullick,et al.  Analysis of Transmission Lines on Integrated-Circuit Chips , 1967 .

[287]  Vishwani D. Agrawal,et al.  Automatic test generation using neural networks , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[288]  Narendra V. Shenoy,et al.  Efficient implementation of retiming , 1994, ICCAD.

[289]  Yervant Zorian,et al.  Testing embedded-core based system chips , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[290]  Edsger W. Dijkstra,et al.  A note on two problems in connexion with graphs , 1959, Numerische Mathematik.

[291]  Majid Sarrafzadeh,et al.  Congestion estimation during top-down placement , 2001, ISPD '01.

[292]  Mattan Kamon,et al.  FastHenry: A Multipole-Accelerated 3-D Inductance Extraction Program , 1993, 30th ACM/IEEE Design Automation Conference.

[293]  James M. Ortega,et al.  Iterative solution of nonlinear equations in several variables , 2014, Computer science and applied mathematics.

[294]  Sudhakar M. Reddy,et al.  On the design of robust testable CMOS combinational logic circuits , 1988, [1988] The Eighteenth International Symposium on Fault-Tolerant Computing. Digest of Papers.

[295]  Gaetano Borriello,et al.  Synthesis of the hardware/software interface in microcontroller-based systems , 1992, 1992 IEEE/ACM International Conference on Computer-Aided Design.

[296]  Patrick Randal Barton,et al.  A synthesis program for CMOS successive approximation A/D and D/A converters , 1986 .

[297]  Minjoong Rim,et al.  Lower-bound performance estimation for the high-level synthesis scheduling problem , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[298]  R. Tsay Exact zero skew , 1991, ICCAD 1991.

[299]  Jason Cong,et al.  An optimal technology mapping algorithm for delay optimization in lookup-table based FPGA designs , 1992, ICCAD.

[300]  Robert G. Meyer,et al.  A systematic approach to the analysis of noise in mixers , 1993 .

[301]  Jorge J. Moré,et al.  The Levenberg-Marquardt algo-rithm: Implementation and theory , 1977 .

[302]  Sharad Malik,et al.  Fast functional simulation using branching programs , 1995, ICCAD.

[303]  W. C. Elmore The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers , 1948 .

[304]  Wayne H. Wolf,et al.  CAD challenges in multimedia computing , 1995, ICCAD.

[305]  W.F.J. Verhaegh,et al.  Allocation of multiport memories for hierarchical data streams , 1993, Proceedings of 1993 International Conference on Computer Aided Design (ICCAD).

[306]  Stig Skelboe,et al.  Computation of the periodic steady-state response of nonlinear networks by extrapolation methods , 1980 .

[307]  D. Brand,et al.  Applications of global flow analysis in logic synthesis , 1988, 1988., IEEE International Symposium on Circuits and Systems.

[308]  Vishwani D. Agrawal,et al.  A partition and resynthesis approach to testable design of large circuits , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[309]  Ibrahim M. Elfadel,et al.  A block rational Arnoldi algorithm for multipoint passive model-order reduction of multiport RLC networks , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[310]  Takeshi Yoshimura,et al.  Efficient Algorithms for Channel Routing , 1982, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[311]  Kurt Keutzer,et al.  Synthesis of robust delay-fault-testable circuits: practice , 1992, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[312]  Mandalagiri S. Chandrasekhar,et al.  Application of Term Rewriting Techniques to Hardware Design Verification , 1987, 24th ACM/IEEE Design Automation Conference.

[313]  Jorg Henkel,et al.  A/sup 2/BC: adaptive address bus coding for low power deep sub-micron designs , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[314]  Alberto L. Sangiovanni-Vincentelli,et al.  A heuristic algorithm for the fanout problem , 1991, DAC '90.

[315]  J. Soukup Circuit layout , 1981, Proceedings of the IEEE.

[316]  Jacob A. Abraham,et al.  VIPER: An Efficient Vigorously Sensitizable Path Extractor , 1993, 30th ACM/IEEE Design Automation Conference.

[317]  Kevin Karplus Xmap: a technology mapper for table-lookup field-programmable gate arrays , 1991, 28th ACM/IEEE Design Automation Conference.

[318]  M. Ray Mercer,et al.  The interdependence between delay-optimization of synthesized networks and testing , 1991, 28th ACM/IEEE Design Automation Conference.

[319]  Miodrag Potkonjak,et al.  Performance optimization of sequential circuits by eliminating retiming bottlenecks , 1992, ICCAD.

[320]  T. I. Kirkpatrick,et al.  PERT as an aid to logic design , 1966 .

[321]  T. Aprille,et al.  Steady-state analysis of nonlinear circuits with periodic inputs , 1972 .

[322]  Narendra V. Shenoy,et al.  Verifying clock schedules , 1992, ICCAD.

[323]  Premachandran R. Menon,et al.  Critical Path Tracing - An Alternative to Fault Simulation , 1983, 20th Design Automation Conference Proceedings.

[324]  Naveed A. Sherwani,et al.  Algorithms for VLSI Physical Design Automation , 1999, Springer US.

[325]  Takumi Okamoto,et al.  A new feed-through assignment algorithm based on a flow model , 1993, ICCAD.

[326]  Lawrence T. Pileggi,et al.  Asymptotic waveform evaluation for timing analysis , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[327]  R. Rohrer The Generalized Adjoint Network and Network Sensitivities , 1969 .

[328]  Michael H. Schulz,et al.  DYNAMITE: an efficient automatic test pattern generation system for path delay faults , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[329]  Nicholas I. M. Gould,et al.  On the Number of Inner Iterations Per Outer Iteration of a Globally Convergent Algorithm for Optimization with General Nonlinear Inequality Constraints and Simple Bounds , 1997, Comput. Optim. Appl..

[330]  K. Glover All optimal Hankel-norm approximations of linear multivariable systems and their L, ∞ -error bounds† , 1984 .

[331]  Ronald A. Rohrer,et al.  Sensitivity computation in piecewise approximate circuit simulation , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[332]  Tsutomu Sasao An application of multiple-valued logic to a design of programmable logic arrays , 1978, MVL '78.

[333]  Alberto L. Sangiovanni-Vincentelli,et al.  Simultaneous Placement and Module Optimization of Analog IC's , 1994, 31st Design Automation Conference.

[334]  Kenji Yoshida,et al.  An Integrated Mask Artwork Analysis System , 1980, 17th Design Automation Conference.

[335]  Olivier Coudert,et al.  Towards a symbolic logic minimization algorithm , 1993, The Sixth International Conference on VLSI Design.

[336]  Jaijeet Roychowdhury,et al.  Reduced-order modeling of time-varying systems , 1999 .

[337]  Thomas W. Williams,et al.  A logic design structure for LSI testability , 1977, DAC '77.

[338]  T. Ohtsuki,et al.  Layout design and verification , 1986 .

[339]  Vijay Pitchumani,et al.  A formal method for computer design verification , 1982, 19th Design Automation Conference.

[340]  Mario R. Barbacci The Symbolic Manipulation of Computer Descriptions. An Introduction to ISPS , 1978 .

[341]  P. Dooren,et al.  Asymptotic Waveform Evaluation via a Lanczos Method , 1994 .

[342]  Srivaths Ravi,et al.  Reducing test application time in high-level test generation , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[343]  Fumiyasu Hirose,et al.  CTL model checking based on forward state traversal , 1996, Proceedings of International Conference on Computer Aided Design.

[344]  A. G. Jordan,et al.  Theory of noise in metal oxide semiconductor devices , 1965 .

[345]  Tapan K. Sarkar,et al.  The Electrostatic Field of Conducting Bodies in Multiple Dielectric Media , 1984 .

[346]  Miodrag Potkonjak,et al.  Critical Path Minimization Using Retiming and Algebraic Speed-Up , 1993, 30th ACM/IEEE Design Automation Conference.

[347]  Ronald A. Rohrer,et al.  Piecewise approximate circuit simulation , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[348]  Lawrence T. Pileggi,et al.  RICE: rapid interconnect circuit evaluation using AWE , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[349]  Ping Yang,et al.  Transient sensitivity computation for MOSFET circuits , 1985, IEEE Transactions on Electron Devices.

[350]  Richard P. Kleihorst,et al.  Mpeg2 Video Encoding in Consumer Electronics , 1997, J. VLSI Signal Process..

[351]  Weiping Shi,et al.  Area minimization for hierarchical floorplans , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[352]  Jiri Vlach,et al.  A piecewise harmonic balance technique for determination of periodic response of nonlinear systems , 1976 .

[353]  Wolfgang Kunz HANNIBAL: an efficient tool for logic verification based on recursive learning , 1993, ICCAD.

[354]  C. Leonard Berman,et al.  The fanout problem: from theory to practice , 1989 .

[355]  Robert G. Meyer,et al.  Relationship between frequency response and settling time of operational amplifiers , 1974 .

[356]  Louise H. Trevillyan,et al.  A global approach to circuit size reduction , 1988 .

[357]  M. A. Styblinski,et al.  Algorithms and Software Tools for IC Yield Optimization Based on Fundamental Fabrication Parameters , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[358]  S. Cserveny,et al.  An analog expert design system , 1987, 1987 IEEE International Solid-State Circuits Conference. Digest of Technical Papers.

[359]  Srinivas Devadas,et al.  Test generation for highly sequential circuits , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[360]  Dorothea Wagner,et al.  Modeling Hypergraphs by Graphs with the Same Mincut Properties , 1993, Inf. Process. Lett..

[361]  W. Wolf,et al.  Hardware/software co-synthesis with memory hierarchies , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[362]  Fred W. Glover,et al.  Multilevel cooperative search for the circuit/hypergraphpartitioning problem , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[363]  Rolf Ernst,et al.  Embedded program timing analysis based on path clustering and architecture classification , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[364]  Lawrence T. Pileggi,et al.  Generating sparse partial inductance matrices with guaranteed stability , 1995, ICCAD.

[365]  Takeshi Yoshimura,et al.  Floorplanning using a tree representation , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[366]  Hiroshi Sawada,et al.  A new method to express functional permissibilities for LUT based FPGAs and its applications , 1996, Proceedings of International Conference on Computer Aided Design.

[367]  Niraj K. Jha,et al.  An iterative improvement algorithm for low power data path synthesis , 1995, ICCAD.

[368]  John Cocke,et al.  A program data flow analysis procedure , 1976, CACM.

[369]  Sharad Malik,et al.  Partition-based decision heuristics for image computation using SAT and BDDs , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[370]  Majid Sarrafzadeh,et al.  An Introduction To VLSI Physical Design , 1996 .

[371]  W. Lien,et al.  Wave-domino logic: timing analysis and applications , 1992, [Proceedings] 1992 IEEE International Symposium on Circuits and Systems.

[372]  Michel Dagenais,et al.  On the calculation of optimal clocking parameters in synchronous circuits with level-sensitive latches , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[373]  Nicolas Halbwachs,et al.  On the Symbolic Analysis of Combinational Loops in Circuits and Synchronous Programs , 1995 .

[374]  Wayne H. Wolf,et al.  Co-synthesis of heterogeneous multiprocessor systems using arbitrated communication , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[375]  Nicholas I. M. Gould,et al.  CUTE: constrained and unconstrained testing environment , 1995, TOMS.

[376]  Miodrag Potkonjak,et al.  Optimizing resource utilization using transformations , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[377]  Hugo De Man,et al.  Exact evaluation of memory size for multi-dimensional signal processing systems , 1993, ICCAD.

[378]  T.M. McWilliams Verification of Timing Constraints on Large Digital Systems , 1980, 17th Design Automation Conference.

[379]  Pranav Ashar,et al.  Efficient breadth-first manipulation of binary decision diagrams , 1994, ICCAD.

[380]  Sudhakar M. Reddy,et al.  Design of robustly testable combinational logic circuits , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[381]  David L. Dill,et al.  Trace theory for automatic hierarchical verification of speed-independent circuits , 1989, ACM distinguished dissertations.

[382]  Hiroshi Sawada,et al.  Minimization of binary decision diagrams based on exchanges of variables , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[383]  Wayne H. Wolf,et al.  Communication synthesis for distributed embedded systems , 1995, ICCAD.

[384]  Masahiro Fujita,et al.  Advanced Verification Techniques Based on Learning , 1995, 32nd Design Automation Conference.

[385]  Edmund M. Clarke,et al.  Sequential circuit verification using symbolic model checking , 1991, DAC '90.

[386]  Lawrence T. Pileggi,et al.  Time-domain macromodels for VLSI interconnect analysis , 1994, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[387]  Kazutoshi Wakabayashi,et al.  Spatial-temporal mapping of real applications on a Dynamically Reconfigurable Logic Engine (DRLE) LSI , 2000, Proceedings of the IEEE 2000 Custom Integrated Circuits Conference (Cat. No.00CH37044).

[388]  Albert E. Casavant,et al.  Scheduling and hardware sharing in pipelined data paths , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[389]  Niraj K. Jha,et al.  Power analysis of embedded operating systems , 2000, Proceedings 37th Design Automation Conference.

[390]  Andrew R. Conn,et al.  Optimization of custom MOS circuits by transistor sizing , 1996, Proceedings of International Conference on Computer Aided Design.

[391]  Srivaths Ravi,et al.  System design methodologies for a wireless security processing platform , 2002, DAC '02.

[392]  Vishwani D. Agrawal,et al.  Test function embedding algorithms with application to interconnected finite state machines , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[393]  Alper Demir,et al.  Phase noise in oscillators: DAEs and colored noise sources , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[394]  Paul G. Villarrubia,et al.  An integrated placement and synthesis approach for timing closure of PowerPC/sup TM/ microprocessors , 1997, Proceedings International Conference on Computer Design VLSI in Computers and Processors.

[395]  Hidetoshi Onodera,et al.  Operational-amplifier compilation with performance optimization , 1990 .

[396]  Pierre G. Paulin,et al.  Force-Directed Scheduling in Automatic Data Path Synthesis , 1987, 24th ACM/IEEE Design Automation Conference.

[397]  Will R. Moore,et al.  Delay-fault testing and defects in deep sub-micron ICs-does critical resistance really mean anything? , 2000, Proceedings International Test Conference 2000 (IEEE Cat. No.00CH37159).

[398]  Eli Chiprout,et al.  Efficient full-wave electromagnetic analysis via model-order reduction of fast integral transforms , 1996, DAC '96.

[399]  W. T. Weeks,et al.  Resistive and inductive skin effect in rectangular conductors , 1979 .

[400]  Joseph R. Shinnerl,et al.  Multilevel optimization for large-scale circuit placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[401]  Tsuneo Nakata,et al.  Forward model checking techniques oriented to buggy designs , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[402]  Masahiro Fujita,et al.  Multi-level logic minimization across latch boundaries , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[403]  Miodrag Potkonjak,et al.  An Approach For Power Minimization Using Transformations , 1992, Workshop on VLSI Signal Processing.

[404]  S.T. Chakradhar,et al.  Static compaction using overlapped restoration and segment pruning , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[405]  Konrad Doll,et al.  Analytical placement: a linear or a quadratic objective function? , 1991, 28th ACM/IEEE Design Automation Conference.

[406]  In-Ho Moon,et al.  To split or to conjoin: the question in image computation , 2000, DAC.

[407]  Elke A. Rundensteiner,et al.  Component synthesis from functional descriptions , 1993, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[408]  Ross Baldick,et al.  A sequential quadratic programming approach to concurrent gate and wire sizing , 1995, ICCAD.

[409]  Vishwani D. Agrawal,et al.  A synthesis approach to design for testability , 1993, Proceedings of IEEE International Test Conference - (ITC).

[410]  Basant R. Chawla,et al.  Motis - an mos timing simulator , 1975 .

[411]  Hugo De Man,et al.  Static Timing Analysis of Dynamically Sensitizable Paths , 1989, 26th ACM/IEEE Design Automation Conference.

[412]  Ibrahim N. Hajj,et al.  Probabilistic simulation for reliability analysis of CMOS VLSI circuits , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[413]  Rob A. Rutenbar,et al.  OASYS: a framework for analog circuit synthesis , 1989, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[414]  Wray L. Buntine,et al.  Automatic circuit analysis based on mask information , 1976, DAC '76.

[415]  Jon Louis Bentley,et al.  Multidimensional binary search trees used for associative searching , 1975, CACM.

[416]  Malgorzata Marek-Sadowska,et al.  Cost-free scan: a low-overhead scan path design methodology , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[417]  Sujit Dey,et al.  Register-transfer level estimation techniques for switching activity and power consumption , 1996, Proceedings of International Conference on Computer Aided Design.

[418]  Ernest S. Kuh,et al.  Simultaneous Floor Planning and Global Routing for Hierarchical Building-Block Layout , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[419]  Clifford Liem,et al.  Retargetable Compilers for Embedded Core Processors , 1997, Springer US.

[420]  Carlo H. Séquin,et al.  Automatic Synthesis of Operational Amplifiers based on Analytic Circuit Models , 2003 .

[421]  K. Keutzer DAGON: Technology Binding and Local Optimization by DAG Matching , 1987, 24th ACM/IEEE Design Automation Conference.

[422]  T. C. Hu,et al.  Multi-Terminal Network Flows , 1961 .

[423]  William H. Kao,et al.  ARIES: A Workstation Based, Schematic Driven System for Circuit Design , 1984, 21st Design Automation Conference Proceedings.

[424]  Jörg Henkel,et al.  Fast cache and bus power estimation for parameterized system-on-a-chip design , 2000, DATE '00.

[425]  James Benjamin Saxe,et al.  Decomposable searching problems and circuit optimization by retiming: two studies in general transformations of computational structures , 1985 .

[426]  Hugo De Man,et al.  Definition and assignment of complex data-paths suited for high throughput applications , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[427]  Chin-Fu Chen,et al.  A Fast-Timing Simulator for Digital MOS Circuits , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[428]  Randal E. Bryant,et al.  Automatic Clock Abstraction from Sequential Circuits , 1995, 32nd Design Automation Conference.

[429]  Daniel L. Ostapko,et al.  MINI: A Heuristic Approach for Logic Minimization , 1974, IBM J. Res. Dev..

[430]  E.L. Acuna,et al.  iSPLICE3: a new simulator for mixed analog/digital circuits , 1989, 1989 Proceedings of the IEEE Custom Integrated Circuits Conference.

[431]  Alberto L. Sangiovanni-Vincentelli,et al.  An Algorithm for Optimal PLA Folding , 1982, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[432]  P. K. Chan,et al.  An extension of Elmore's delay , 1986 .

[433]  A. Ruehli,et al.  Efficient Capacitance Calculations for Three-Dimensional Multiconductor Systems , 1973 .

[434]  Dominique Borrione,et al.  The CONLAN Project: Status and Future Plans , 1982, 19th Design Automation Conference.

[435]  Timothy Kam,et al.  Comparing layouts with HDL models: a formal verification technique , 1992, Proceedings 1992 IEEE International Conference on Computer Design: VLSI in Computers & Processors.

[436]  Y. Saad,et al.  GMRES: a generalized minimal residual algorithm for solving nonsymmetric linear systems , 1986 .

[437]  Bill Lin,et al.  Synthesis of concurrent system interface modules with automatic protocol conversion generation , 1994, ICCAD.

[438]  J. J. Moré,et al.  Levenberg--Marquardt algorithm: implementation and theory , 1977 .

[439]  Abbas El Gamal,et al.  Optimal replication for min-cut partitioning , 1992, ICCAD.

[440]  Hugo De Man,et al.  Timing verification using statically sensitizable paths , 1990, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[441]  L. M. Silveira,et al.  Coordinate-Transformed Arnoldi Algorithm for GeneratingGuaranteed Stable Reduced-Order Models of Arbitrary RLC Circuits , 1996 .

[442]  Richard M. Karp,et al.  Minimization Over Boolean Graphs , 1962, IBM J. Res. Dev..

[443]  C. A. J. van Eijk,et al.  Sequential equivalence checking without state space traversal , 1998, DATE.

[444]  Kamal Chaudhary,et al.  RITUAL: a performance driven placement algorithm , 1992 .

[445]  Donald W. Loveland,et al.  A machine program for theorem-proving , 2011, CACM.

[446]  Gerhard Zimmerman,et al.  A new area and shape function estimation technique for VLSI layouts , 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

[447]  Thomas Szymanski,et al.  Goalie: A Space Efficient System for VLSI Artwork Analysis , 1985, IEEE Design & Test of Computers.

[448]  Miodrag Potkonjak,et al.  HYPER-LP: a system for power minimization using architectural transformations , 1992, ICCAD.

[449]  Martin D. F. Wong,et al.  A New Algorithm for Floorplan Design , 1986, 23rd ACM/IEEE Design Automation Conference.

[450]  Robert G. Meyer,et al.  Computationally efficient electronic-circuit noise calculations , 1971 .

[451]  Fumihiro Maruyama,et al.  Design and Verification of Large-Scale Computers by Using DDL , 1979, 16th Design Automation Conference.

[452]  Robert K. Brayton,et al.  MIS: A Multiple-Level Logic Optimization System , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[453]  Kazutoshi Wakabayashi,et al.  A resource sharing and control synthesis method for conditional branches , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[454]  Doug Matzke,et al.  Will Physical Scalability Sabotage Performance Gains? , 1997, Computer.

[455]  Ronald A. Rohrer,et al.  Interconnect simulation with asymptotic waveform evaluation (AWE) , 1992 .

[456]  Yoji Kajitani,et al.  Module packing based on the BSG-structure and IC layout applications , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[457]  Charles E. Leiserson,et al.  A TIMING ANALYSIS OF LEVEL-CLOCKED CIRCUITRY , 1990 .

[458]  Niraj K. Jha Multiple Stuck-Open Fault Detection in CMOS Logic Circuits , 1988, IEEE Trans. Computers.

[459]  T. Ohtsuki,et al.  Recent advances in VLSI layout , 1990, Proc. IEEE.

[460]  Ronald A. Rohrer,et al.  Incorporation of inductors in piecewise approximate circuit simulation , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[461]  Ilan Beer,et al.  RuleBase: an industry-oriented formal verification tool , 1996, DAC '96.

[462]  Dave Johannsen,et al.  Bristle Blocks: A Silicon Compiler , 1979, 16th Design Automation Conference.

[463]  Jörg Henkel,et al.  Design of an one-cycle decompression hardware for performance increase in embedded systems , 2002, DAC '02.

[464]  Charles M. Fiduccia,et al.  A linear-time heuristic for improving network partitions , 1988, 25 years of DAC.

[465]  Albert R. Wang,et al.  Logic verification using binary decision diagrams in a logic synthesis environment , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[466]  Yoji Kajitani,et al.  The quarter-state sequence (Q-sequence) to represent the floorplan and applications to layout optimization , 2000, IEEE APCCAS 2000. 2000 IEEE Asia-Pacific Conference on Circuits and Systems. Electronic Communication Systems. (Cat. No.00EX394).

[467]  Marcello Lajolo Bus guardians: an effective solution for online detection and correction of faults affecting system-on-chip buses , 2001, IEEE Trans. Very Large Scale Integr. Syst..

[468]  Saburo Muroga,et al.  Binary Decision Diagrams , 2000, The VLSI Handbook.

[469]  P. Yip,et al.  Discrete Cosine Transform: Algorithms, Advantages, Applications , 1990 .

[470]  Louise H. Trevillyan,et al.  Improved logic optimization using global flow analysis , 1988, ICCAD 1988.

[471]  Robert Spence,et al.  Tolerance Design of Electronic Circuits , 1997 .

[472]  Ting-Chi Wang,et al.  An optimal algorithm for floorplan area optimization , 1990, 27th ACM/IEEE Design Automation Conference.

[473]  John P. Blanks Near-Optimal Placement Using a Quadratic Objective Function , 1985, 22nd ACM/IEEE Design Automation Conference.

[474]  Leonard Berman,et al.  On Logic Comparison , 1981, 18th Design Automation Conference.

[475]  Kwang-Ting Cheng,et al.  Multi-level logic optimization by redundancy addition and removal , 1993, 1993 European Conference on Design Automation with the European Event in ASIC Design.

[476]  Nikil D. Dutt,et al.  Integrating Program Transformations In The Memory-based Synthesis Of Image And Video Algorithms , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[477]  Daniel Brand,et al.  Symbolic Simulation for Correct Machine Design , 1979, 16th Design Automation Conference.

[478]  Konrad Doll,et al.  Accurate net models for placement improvement by network flow methods , 1992, ICCAD.

[479]  Marios C. Papaefthymiou,et al.  Eecient Pipelining of Level-clocked Circuits with Min-max Propagation Delays , 1995 .

[480]  David Hung-Chang Du,et al.  Path sensitization in critical path problem , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[481]  Kazutoshi Wakabayashi,et al.  Global scheduling independent of control dependencies based on condition vectors , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[482]  Sérgio Vale Aguiar Campos,et al.  Symbolic Model Checking , 1993, CAV.

[483]  Mattan Kamon,et al.  A mixed nodal-mesh formulation for efficient extraction and passive reduced-order modeling of 3D interconnects , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[484]  Werner Geurts Accelerator Data-Path Synthesis for High-Throughput Signal Processing Applications , 1996 .

[485]  Alberto L. Sangiovanni-Vincentelli,et al.  A parallel iterative linear solver for solving irregular grid semiconductor device matrices , 1994, Proceedings of Supercomputing '94.

[486]  V. Rich Personal communication , 1989, Nature.

[487]  P. R. O'Brien,et al.  Modeling the driving-point characteristic of resistive interconnect for accurate delay estimation , 1989, ICCAD 1989.

[488]  Vivek Chickermane,et al.  System-on-Chip Testability Using LSSD Scan Structures , 2001, IEEE Des. Test Comput..

[489]  Louise Trevillyan,et al.  LSS: A system for production logic synthesis , 1984, IBM Journal of Research and Development.

[490]  Peter Suaris,et al.  A Methodology and Algorithms for Post-Placement Delay Optimization , 1994, 31st Design Automation Conference.

[491]  Anand Raghunathan,et al.  Securing wireless data: system architecture challenges , 2002, 15th International Symposium on System Synthesis, 2002..

[492]  Masahiro Fujita,et al.  LP based cell selection with constraints of timing, area, and power consumption , 1994, ICCAD '94.

[493]  William W. Cohen,et al.  Synthesis and Optimization of Multilevel Logic under Timing Constraints , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[494]  Srimat T. Chakradhar,et al.  Optimum retiming of large sequential circuits , 1995, Proceedings of the 8th International Conference on VLSI Design.

[495]  Roland W. Freund,et al.  Efficient linear circuit analysis by Pade´ approximation via the Lanczos process , 1994, EURO-DAC '94.

[496]  Edmund M. Clarke,et al.  Representing circuits more efficiently in symbolic model checking , 1991, 28th ACM/IEEE Design Automation Conference.

[497]  Jason Cong,et al.  Simultaneous driver and wire sizing for performance and power optimization , 1994, ICCAD.

[498]  Jan M. Rabaey,et al.  Hardware selection and clustering in the HYPER synthesis system , 1992, [1992] Proceedings The European Conference on Design Automation.

[499]  Srihari Cadambi,et al.  A fast, inexpensive and scalable hardware acceleration technique for functional simulation , 2002, DAC '02.

[500]  Carver Mead,et al.  Signal Delay in General RC Networks , 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[501]  Alberto L. Sangiovanni-Vincentelli,et al.  DELIGHT.SPICE: an optimization-based system for the design of integrated circuits , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[502]  J. Ecker Geometric Programming: Methods, Computations and Applications , 1980 .

[503]  Andrew B. Kahng,et al.  Multilevel circuit partitioning , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[504]  Yves Crouzet,et al.  Physical Versus Logical Fault Models MOS LSI Circuits: Impact on Their Testability , 1980, IEEE Transactions on Computers.

[505]  Mark Horowitz,et al.  Using partitioning to help convergence in the standard-cell design automation methodology , 1999, DAC '99.

[506]  Hidetoshi Onodera,et al.  Branch-and-bound placement for building block layout , 1991, 28th ACM/IEEE Design Automation Conference.

[507]  Kurt Keutzer,et al.  Getting to the bottom of deep submicron , 1998, ICCAD '98.

[508]  Sujit Dey,et al.  Performance analysis of a system of communicating processes , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[509]  M. Fukuma,et al.  A 1 GIPS 1 W single-chip tightly-coupled four-way multiprocessor with architecture support for multiple control flow execution , 2000, 2000 IEEE International Solid-State Circuits Conference. Digest of Technical Papers (Cat. No.00CH37056).

[510]  Alexander Saldanha,et al.  Is redundancy necessary to reduce delay , 1990, DAC '90.

[511]  Jiri Vlach,et al.  Distortion analysis of transistor networks , 1978 .

[512]  Jon Frankle,et al.  Iterative and adaptive slack allocation for performance-driven layout and FPGA routing , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[513]  I. Duff,et al.  Direct Methods for Sparse Matrices , 1987 .

[514]  Dhiraj K. Pradhan,et al.  LOT: logic optimization with testability—new transformations using recursive learning , 1995, ICCAD.

[515]  Elizabeth M. Rudnick,et al.  Enhancing high-level control-flow for improved testability , 1996, Proceedings of International Conference on Computer Aided Design.

[516]  Sani R. Nassif,et al.  FABRICS II : a statistical simulator of the IC Fabrica process , 1982 .

[517]  Kaushik Roy,et al.  Synthesis of delay fault testable combinational logic , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[518]  Wim F. J. Verhaegh,et al.  PHIDEO: High-level synthesis for high throughput applications , 1995, J. VLSI Signal Process..

[519]  Weitong Chuang,et al.  Power vs. delay in gate sizing: conflicting objectives? , 1995, ICCAD.

[520]  C. Lanczos An iteration method for the solution of the eigenvalue problem of linear differential and integral operators , 1950 .

[521]  P. C. Maulik,et al.  High-performance analog module generation using nonlinear optimization , 1991, [1991] Proceedings Fourth Annual IEEE International ASIC Conference and Exhibit.

[522]  M. A. Styblinski,et al.  A new efficient approach to statistical delay modeling of CMOS digital combinational circuits , 1994, ICCAD '94.

[523]  Seongmoon Wang Generation of low power dissipation and high fault coverage patterns for scan-based BIST , 2002, Proceedings. International Test Conference.

[524]  Ronald L. Rivest,et al.  Orthogonal Packings in Two Dimensions , 1980, SIAM J. Comput..

[525]  Kazutoshi Wakabayashi,et al.  C-based SoC design flow and EDA tools: an ASIC and system vendorperspective , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[526]  B.K. Ahuja,et al.  An improved frequency compensation technique for CMOS operational amplifiers , 1983, IEEE Journal of Solid-State Circuits.

[527]  Arvind Srinivasan,et al.  Clock routing for high-performance ICs , 1991, DAC '90.

[528]  T.H. Lee,et al.  Oscillator phase noise: a tutorial , 1999, IEEE Journal of Solid-State Circuits.

[529]  Roland W. Freund,et al.  Reduced-Order Modeling of Large Linear Subcircuits via a Block Lanczos Algorithm , 1995, 32nd Design Automation Conference.

[530]  Masahiro Fujita,et al.  Automatic and semi-automatic verification of switch-level circuits with temporal logic and binary decision diagrams , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[531]  Tuyen Van Nguyen Transient sensitivity computation and applications , 1991 .

[532]  Gordon L. Smith,et al.  Boolean Comparison of Hardware and Flowcharts , 1982, IBM J. Res. Dev..

[533]  Srimat T. Chakradhar,et al.  Redundancy removal and test generation for circuits with non-Boolean primitives , 1995, Proceedings 13th IEEE VLSI Test Symposium.

[534]  Donald L. Dietmeyer,et al.  Logic Design Automation of Fan-In Limited NAND Networks , 1969, IEEE Transactions on Computers.

[535]  Randal E. Bryant,et al.  COSMOS: a compiled simulator for MOS circuits , 1987, DAC '87.

[536]  A. Neri,et al.  State of the art and present trends in nonlinear microwave CAD techniques , 1988 .

[537]  Louise Trevillyan,et al.  Logic Synthesis Through Local Transformations , 1981, IBM J. Res. Dev..

[538]  David A. Patterson,et al.  Computer Architecture: A Quantitative Approach , 1969 .

[539]  Wojciech Maly,et al.  Layout-driven test generation , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[540]  Sharad Malik,et al.  Chaff: engineering an efficient SAT solver , 2001, Proceedings of the 38th Design Automation Conference (IEEE Cat. No.01CH37232).

[541]  Thomas Lengauer,et al.  Combinatorial algorithms for integrated circuit layout , 1990, Applicable theory in computer science.

[542]  Jirí Vlach,et al.  Current-limited switch-level timing simulator for MOS logic networks , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[543]  Masahiro Fujita,et al.  Multi-level logic minimization based on minimal support and its application to the minimization of look-up table type FPGAs , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[544]  Olivier Coudert,et al.  A unified framework for the formal verification of sequential circuits , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[545]  Yosinori Watanabe,et al.  A delay model for logic synthesis of continuously-sized networks , 1995, ICCAD.

[546]  A. Jimenez,et al.  Algorithms for ASTAP--A network-analysis program , 1973 .

[547]  Vishwani D. Agrawal,et al.  Energy models for delay testing , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[548]  William W. Cohen,et al.  A Rule-Based System for Optimizing Combinational Logic , 1985, IEEE Design & Test of Computers.

[549]  C. L. Berman Ordered binary decision diagrams and circuit structure , 1989, Proceedings 1989 IEEE International Conference on Computer Design: VLSI in Computers and Processors.

[550]  Sharad Mehrotra,et al.  Layout based frequency dependent inductance and resistance extraction for on-chip interconnect timing analysis , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[551]  Lawrence T. Pileggi,et al.  Equipotential shells for efficient inductance extraction , 2001, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[552]  Charles G. Sodini,et al.  A high-speed CMOS comparator for use in an ADC , 1988 .

[553]  Thomas L. Quarles THE SPICE3 IMPLEMENTATION GUIDE , 1989 .

[554]  Imtiaz Ahmad,et al.  Post-processor for data path synthesis using multiport memories , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[555]  Willard Van Orman Quine,et al.  The Problem of Simplifying Truth Functions , 1952 .

[556]  Chung-Kuan Cheng,et al.  Module Placement Based on Resistive Network Optimization , 1984, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[557]  Srivaths Ravi,et al.  Energy estimation for extensible processors , 2003, 2003 Design, Automation and Test in Europe Conference and Exhibition.

[558]  Daniel P. Siewiorek,et al.  The CMU Design Automation System - An Example of Automated Data Path Design , 1979, 16th Design Automation Conference.

[559]  Kurt Keutzer,et al.  Robust delay-fault test generation and synthesis for testability under a standard scan design methodology , 1991, 28th ACM/IEEE Design Automation Conference.

[560]  Ronald A. Rohrer,et al.  ADAPTS: a digital transient simulation strategy for integrated circuits , 1991, 28th ACM/IEEE Design Automation Conference.

[561]  David Blaauw,et al.  Functional abstraction of logic gates for switch-level simulation , 1991, Proceedings of the European Conference on Design Automation..

[562]  Albert Ren Rui Wang Algorithms for multilevel logic optimization , 1991 .

[563]  Fadi J. Kurdahi,et al.  Module assignment and interconnect sharing in register-transfer synthesis of pipelined data paths , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[564]  Nicholas I. M. Gould,et al.  Lancelot: A FORTRAN Package for Large-Scale Nonlinear Optimization (Release A) , 1992 .

[565]  Jonathan Rose,et al.  Technology mapping of lookup table-based FPGAs for performance , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[566]  Sule Ozev,et al.  Test synthesis for mixed-signal SOC paths , 2000, DATE '00.

[567]  Janusz Rajski,et al.  Testability preserving transformations in multi-level logic synthesis , 1990, Proceedings. International Test Conference 1990.

[568]  Chi-Ying Tsui,et al.  Low power architecture design and compilation techniques for high-performance processors , 1994, Proceedings of COMPCON '94.

[569]  Gerhard Zimmermann The Mimola Design System a Computer Aided Digital Processor Design Method , 1979, 16th Design Automation Conference.

[570]  Joao Marques-Silva,et al.  GRASP-A new search algorithm for satisfiability , 1996, Proceedings of International Conference on Computer Aided Design.

[571]  Miodrag Potkonjak,et al.  Behavioral synthesis of area-efficient testable designs using interaction between hardware sharing and partial scan , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[572]  Vishwani D. Agrawal Synchronous Path Analysis in MOS Circuit Simulator , 1982, 19th Design Automation Conference.

[573]  A. Ruehli,et al.  Three-dimensional inductance computations with partial element equivalent circuits , 1979 .

[574]  D. Burger,et al.  Billion-Transistor Architectures , 1997, Computer.

[575]  藤田 昌宏,et al.  Evaluation and Improvements of Boolean Comparison Method Based on Binary Decision Diagrams , 1988 .

[576]  Andrzej J. Strojwas,et al.  Statistical Simulation of the IC Manufacturing Process , 1982, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[577]  Anoop Gupta ACE: A Circuit Extractor , 1983, 20th Design Automation Conference Proceedings.

[578]  Sandeep K. Gupta,et al.  An automatic test pattern generator for minimizing switching activity during scan testing activity , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[579]  Jacob Savir,et al.  Random Pattern Testability of Delay Faults , 1988, IEEE Trans. Computers.

[580]  Sujit Dey,et al.  Communication architecture tuners: a methodology for the design of high-performance communication architectures for system-on-chips , 2000, Proceedings 37th Design Automation Conference.

[581]  Bernard Courtois,et al.  Debugging integrated circuits: AI can help , 1989, [1989] Proceedings of the 1st European Test Conference.

[582]  Y. Tsividis Operation and modeling of the MOS transistor , 1987 .

[583]  Andreas Kuehlmann,et al.  Equivalence checking using cuts and heaps , 1997, DAC.

[584]  Farzan Fallah,et al.  Binary time-frame expansion , 2002, IWLS.

[585]  Masaki Hashizume,et al.  Design automation system for analog circuits based on fuzzy logic , 1989, 1989 Proceedings of the IEEE Custom Integrated Circuits Conference.

[586]  Miodrag Potkonjak,et al.  Optimizing power using transformations , 1995, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[587]  Sharad Malik,et al.  Instruction level power analysis and optimization of software , 1996, Proceedings of 9th International Conference on VLSI Design.

[588]  Alberto L. Sangiovanni-Vincentelli,et al.  Simulation of Nonlinear Circuits in the Frequency Domain , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[589]  Chi-Yuan Lo,et al.  The Second Generation MOTIS Mixed-Mode Simulator , 1984, 21st Design Automation Conference Proceedings.

[590]  A. Kuehlmann,et al.  Combinational and sequential equivalence checking , 2001 .

[591]  N.K. Jha,et al.  Removal of memory access bottlenecks for scheduling control-flow intensive behavioral descriptions , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[592]  A. Greiner,et al.  YAGLE, a second generation functional abstractor for CMOS VLSI circuits , 1998, Proceedings of the Tenth International Conference on Microelectronics (Cat. No.98EX186).

[593]  Vishwani D. Agrawal,et al.  Automatic test generation using quadratic 0-1 programming , 1991, DAC '90.

[594]  Jason Cong,et al.  DAG-Map: graph-based FPGA technology mapping for delay optimization , 1992, IEEE Design & Test of Computers.

[595]  Vivek Raghavan,et al.  AWESpice: a general tool for the accurate and efficient simulation of interconnect problems , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[596]  A. Dec,et al.  Noise analysis of a class of oscillators , 1998 .

[597]  Farid N. Najm,et al.  Transition density, a stochastic measure of activity in digital circuits , 1991, 28th ACM/IEEE Design Automation Conference.

[598]  James A. McHugh,et al.  Algorithmic Graph Theory , 1986 .

[599]  Ulrich Lauther,et al.  A Min-Cut Placement Algorithm for General Cell Assemblies Based on a Graph Representation , 1979, 16th Design Automation Conference.

[600]  Jason Cong,et al.  Interconnect design for deep submicron ICs , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[601]  Rob A. Rutenbar,et al.  A comparative study of two Boolean formulations of FPGA detailed routing constraints , 2001, IEEE Transactions on Computers.

[602]  Antoni A. Szepieniec,et al.  The Genealogical Approach to the Layout Problem , 1980, 17th Design Automation Conference.

[603]  Jaijeet Roychowdhury,et al.  Efficient multi-tone distortion analysis of analog integrated circuits , 1995, Proceedings of the IEEE 1995 Custom Integrated Circuits Conference.

[604]  Jörg Henkel,et al.  System-level exploration for Pareto-optimal configurations in parameterized systems-on-a-chip , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[605]  How to automate analog IC designs - IEEE Spectrum , 2004 .

[606]  Robert K. Brayton,et al.  ESPRESSO-SIGNATURE: A New Exact Minimizer for Logic Functions , 1993, 30th ACM/IEEE Design Automation Conference.

[607]  Rob A. Rutenbar,et al.  KOAN/ANAGRAM II: new tools for device-level analog placement and routing , 1991 .

[608]  Leslie Greengard,et al.  A fast algorithm for particle simulations , 1987 .

[609]  Andreas Münzner,et al.  Converting combinational circuits into pipelined data paths , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[610]  Robert K. Brayton,et al.  Efficient Algorithms for Computing the Longest Viable Path in a Combinational Network , 1989, 26th ACM/IEEE Design Automation Conference.

[611]  Marios C. Papaefthymiou Asymptotically efficient retiming under setup and hold constraints , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[612]  Albert E. Ruehli,et al.  Analytical power/timing optimization technique for digital system , 1977, DAC '77.

[613]  Niraj K. Jha,et al.  Wavesched: a novel scheduling technique for control-flow intensive behavioral descriptions , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[614]  William M. van Cleemput,et al.  Design Automation for Digital Systems , 1984, Computer.

[615]  Massoud Pedram,et al.  Electronic design automation at the turn of the century: accomplishments and vision of the future , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[616]  Masahiro Fujita,et al.  Application of Boolean unification to combinational logic synthesis , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[617]  Sujit Dey,et al.  High-Level Power Analysis and Optimization , 1997 .

[618]  Dhiraj K. Pradhan,et al.  Novel Verification Framework Combining Structural and OBDD Methods in a Synthesis Environment , 1995, 32nd Design Automation Conference.

[619]  Niraj K. Jha,et al.  Energy macromodeling of embedded operating systems , 2005, TECS.

[620]  Gary D. Hachtel,et al.  Performance enhancements in BOLD using 'implications' , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[621]  J. Zeman,et al.  Systematic design and programming of signal processors, using project management techniques , 1983 .

[622]  Janusz Rajski,et al.  A method for concurrent decomposition and factorization of Boolean expressions , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[623]  Dominik Stoffel,et al.  Record and play: a structural fixed point iteration for sequential circuit verification , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[624]  Rajeev Murgai,et al.  Speeding up technology-independent timing optimization by network partitioning , 1997, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[625]  R. M. Tomasulo,et al.  An efficient algorithm for exploiting multiple arithmetic units , 1995 .

[626]  Martin D. F. Wong,et al.  Edge-map: Optimal Performance Driven Technology Mapping for Iterative Lut Based Fpga Designs , 1994, IEEE/ACM International Conference on Computer-Aided Design.

[627]  Sharad Malik,et al.  Delay computation in combinational logic circuits: theory and algorithms , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[628]  Daniel P. Siewiorek,et al.  Automated Synthesis of Data Paths in Digital Systems , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[629]  Tsutomu Sasao An Algorithm to Derive the Complement of a Binary Function with Multiple-Valued Inputs , 1985, IEEE Transactions on Computers.

[630]  Malgorzata Marek-Sadowska,et al.  Timing driven placement , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[631]  Kewal K. Saluja,et al.  Fast test generation for sequential circuits , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[632]  Randal E. Bryant,et al.  Graph-Based Algorithms for Boolean Function Manipulation , 1986, IEEE Transactions on Computers.

[633]  Andreas C. Cangellaris,et al.  Passive reduced-order modeling of electromagnetic systems , 1999 .

[634]  Daniel Boley Krylov space methods on state-space control models , 1994 .

[635]  Larry J. Stockmeyer,et al.  Optimal Orientations of Cells in Slicing Floorplan Designs , 1984, Inf. Control..

[636]  Nikil D. Dutt,et al.  System and architecture-level power reduction of microprocessor-based communication and multi-media applications , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[637]  Alberto Sangiovanni-Vincentelli,et al.  Exact Minimization of Multiple-Valued Functions for PLA Optimization , 2003 .

[638]  Sandeep K. Gupta,et al.  DS-LFSR: a BIST TPG for low switching activity , 2002, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[639]  Carl Sechen Chip-planning, placement, and global routing of macro/custom cell integrated circuits using simulated annealing , 1988, 25th ACM/IEEE, Design Automation Conference.Proceedings 1988..

[640]  Rajeev Murgai Layout-driven area-constrained timing optimization by net buffering , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[641]  Ganesh Lakshminarayana,et al.  Algorithm Exploration for Efficient Public-Key Security Processing on Wireless Handsets , 2002 .

[642]  Francky Catthoor,et al.  Design of heterogeneous ICs for mobile and personal communication systems , 1994, ICCAD '94.

[643]  Martin D. F. Wong,et al.  Efficient network flow based min-cut balanced partitioning , 1994, ICCAD.

[644]  Marc G. DeGrauwe WAM 1.4: A Synthesis Program for Operational Amplifiers , 1983 .

[645]  Srinivas Devadas,et al.  On The Verification of Sequential Machines at Differing Levels of Abstraction , 1987, 24th ACM/IEEE Design Automation Conference.

[646]  Robert K. Brayton,et al.  Performance enhancement through the generalized bypass transform , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[647]  G. Goossens,et al.  An efficient microcode compiler for custom multiprocessor DSP-systems , 1987 .

[648]  Tetsuro Itakura,et al.  Numerical noise analysis for nonlinear circuits with a periodic large signal excitation including cyclostationary noise sources , 1993 .

[649]  Robert K. Brayton,et al.  Multi-level logic minimization using implicit don't cares , 1988, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[650]  J. R. PHILLIPSzAbstract Preconditioning Techniques for Constrained Vector Potential Integral Equations, with Application to 3-d Magnetoquasistatic Analysis of Electronic Packages , 1994 .

[651]  Lawrence T. Pileggi,et al.  RICE: rapid interconnect circuit evaluator , 1991, 28th ACM/IEEE Design Automation Conference.

[652]  Daniel Brand,et al.  Timing Analysis Using Functional Analysis , 1988, IEEE Trans. Computers.

[653]  Hugo De Man,et al.  An Efficient Microcode-Compiler for Custom DSP-Processors , 2003 .

[654]  Edoardo Charbon,et al.  A Top-down, Constraint-Driven Design Methodology for Analog Integrated Circuits , 1993 .

[655]  Rob A. Rutenbar,et al.  A Prototype Framework for Knowledge-Based Analog Circuit Synthesis , 1987, 24th ACM/IEEE Design Automation Conference.

[656]  Alper Demir,et al.  Computing phase noise eigenfunctions directly from steady-state Jacobian matrices , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[657]  Randal E. Bryant,et al.  Efficient implementation of a BDD package , 1991, DAC '90.

[658]  Yacoub M. El-Ziq,et al.  Functional-Level Test Generation for Stuck-Open Faults in CMOS VLSI , 1981, ITC.

[659]  Edward A. Lee,et al.  A framework for comparing models of computation , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[660]  Anand Raghunathan,et al.  FLEXBAR: A crossbar switching fabric with improved performance and utilization , 2002, Proceedings of the IEEE 2002 Custom Integrated Circuits Conference (Cat. No.02CH37285).

[661]  Stephen H. Unger,et al.  Clocking Schemes for High-Speed Digital Systems , 1986, IEEE Transactions on Computers.

[662]  Niraj K. Jha,et al.  A design for testability technique for RTL circuits using control/data flow extraction , 1996, Proceedings of International Conference on Computer Aided Design.

[663]  Gordon L. Smith,et al.  Model for Delay Faults Based upon Paths , 1985, ITC.

[664]  Willy Sansen,et al.  Analog Circuit Design Optimization based on Symbolic Simulation and Simulated Annealing , 1989, ESSCIRC '89: Proceedings of the 15th European Solid-State Circuits Conference.

[665]  Randal E. Bryant,et al.  Symbolic Manipulation of Boolean Functions Using a Graphical Representation , 1985, 22nd ACM/IEEE Design Automation Conference.

[666]  Seongrnoon Wang,et al.  Low hardware overhead scan based 3-weight weighted random BIST , 2001, Proceedings International Test Conference 2001 (Cat. No.01CH37260).

[667]  C. Y. Lee An Algorithm for Path Connections and Its Applications , 1961, IRE Trans. Electron. Comput..

[668]  Lance A. Glasser,et al.  Delay and Power Optimization in VLSI Circuits , 1984, 21st Design Automation Conference Proceedings.

[669]  Jörg Henkel,et al.  A framework for estimating and minimizing energy dissipation of embedded HW/SW systems , 2001 .

[670]  Frank M. Johannes,et al.  On the Relative Placement and the Transportation Problem for Standard-Cell Layout , 1986, 23rd ACM/IEEE Design Automation Conference.

[671]  Luke D. Postema,et al.  The Institute of Electrical and Electronics Engineers , 1963, Nature.

[672]  Irith Pomeranz,et al.  NEST: A Non-Enumerative Test Generation Method for Path Delay Faults in Combinational Circuits , 1993, 30th ACM/IEEE Design Automation Conference.

[673]  Masahiro Fujita,et al.  Automatic test pattern generation for functional RTL circuits using assignment decision diagrams , 2000, Proceedings 37th Design Automation Conference.

[674]  R. Brayton,et al.  Reachability analysis using partitioned-ROBDDs , 1997, ICCAD 1997.

[675]  陈崇源,et al.  使用《Basic circuit Theory》进行教学的初步体会 , 1980 .

[676]  Brian W. Kernighan,et al.  An efficient heuristic procedure for partitioning graphs , 1970, Bell Syst. Tech. J..

[677]  Robert K. Brayton,et al.  Delay-optimal technology mapping by DAG covering , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[678]  Marios C. Papaefthymiou,et al.  Understanding retiming through maximum average-delay cycles , 2005, Mathematical systems theory.

[679]  D.J. Allstot A precision variable-supply CMOS comparator , 1982, IEEE Journal of Solid-State Circuits.

[680]  C.M. Lee,et al.  An algorithm for CMOS timing and area optimization , 1984, IEEE Journal of Solid-State Circuits.

[681]  Sachin S. Sapatnekar,et al.  Clock Skew Optimization , 1999 .

[682]  Alberto L. Sangiovanni-Vincentelli,et al.  Latency Insensitive Protocols , 1999, CAV.

[683]  William H. Press,et al.  Numerical recipes in C , 2002 .

[684]  Masahiro Fujita,et al.  Multi-level logic optimization using binary decision diagrams , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[685]  John K. Ousterhout A Switch-Level Timing Verifier for Digital MOS VLSI , 1985, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[686]  Jaijeet Roychowdhury,et al.  Cyclostationary noise analysis of large RF circuits with multitone excitations , 1998 .

[687]  Jacob K. White,et al.  Efficient AC and noise analysis of two-tone RF circuits , 1996, DAC '96.

[688]  L. Toth Analytical approach for the exact phase noise analysis of oscillators , 2001, ISCAS 2001. The 2001 IEEE International Symposium on Circuits and Systems (Cat. No.01CH37196).

[689]  William J. Dally,et al.  Register organization for media processing , 2000, Proceedings Sixth International Symposium on High-Performance Computer Architecture. HPCA-6 (Cat. No.PR00550).

[690]  Vishwani D. Agrawal,et al.  Performance Analysis of Synchronized Iterative Algorithms on Multiprocessor Systems , 1992, IEEE Trans. Parallel Distributed Syst..

[691]  Ulrich Lauther An O (N log N) Algorithm for Boolean Mask Operations , 1981, 18th Design Automation Conference.

[692]  Sujit Dey,et al.  A power management methodology for high-level synthesis , 1998, Proceedings Eleventh International Conference on VLSI Design.

[693]  P. R. Stephan,et al.  SIS : A System for Sequential Circuit Synthesis , 1992 .

[694]  S.J. Schaffer,et al.  BONeS DESIGNER: a graphical environment for discrete-event modeling and simulation , 1994, Proceedings of International Workshop on Modeling, Analysis and Simulation of Computer and Telecommunication Systems.

[695]  Christos A. Papachristou,et al.  BIST Testability Enhancement Using High Level Test Synthesis Techniques , 1997, Proceedings of Technical Papers. International Symposium on VLSI Technology, Systems, and Applications.

[696]  Alfred V. Aho,et al.  Principles of Compiler Design , 1977 .

[697]  Niraj K. Jha,et al.  FACT: a framework for applying throughput and power optimizing transformations to control-flow-intensive behavioral descriptions , 1999, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[698]  Mark Horowitz,et al.  IRSIM: An Incremental MOS Switch-Level Simulator , 1989, 26th ACM/IEEE Design Automation Conference.

[699]  David Hung-Chang Du,et al.  Efficient timing analysis for CMOS circuits considering data dependent delays , 1998, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[700]  Ulrich Lauther,et al.  HEX: An Instruction-Driven Approach to Feature Extraction , 1983, 20th Design Automation Conference Proceedings.

[701]  Louise Trevillyan,et al.  EDA in IBM: past, present, and future , 2000, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[702]  A. J. Strojwas,et al.  A Methodology for Worst Case Design of Integrated Circuits , 2003 .

[703]  C. Meixenberger,et al.  Towards an analog system design environment , 1989 .

[704]  David J. Allstot,et al.  Rapid Redesign Of Analog Standard Cells Using Constrained Optimization Techniques , 1992, 1992 Proceedings of the IEEE Custom Integrated Circuits Conference.

[705]  Yosinori Watanabe,et al.  Logic decomposition during technology mapping , 1995, ICCAD.

[706]  Gunther Lehmann,et al.  Basic concepts for an HDL reverse engineering tool-set , 1996, Proceedings of International Conference on Computer Aided Design.

[707]  J. Paul Roth,et al.  Computer Logic Testing And Verification , 1980 .

[708]  John P. Fishburn,et al.  LATTIS: an iterative speedup heuristic for mapped logic , 1992, [1992] Proceedings 29th ACM/IEEE Design Automation Conference.

[709]  Rajeev Murgai Performance optimization under rise and fall parameters , 1999, 1999 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (Cat. No.99CH37051).

[710]  Charles E. Leiserson,et al.  Optimizing synchronous systems , 1981, 22nd Annual Symposium on Foundations of Computer Science (sfcs 1981).

[711]  Lawrence C. Paulson,et al.  Natural Deduction as Higher-Order Resolution , 1986, J. Log. Program..

[712]  John P. Fishburn,et al.  TILOS: A posynomial programming approach to transistor sizing , 2003, ICCAD 2003.

[713]  Miodrag Potkonjak,et al.  Exploiting hardware sharing in high-level synthesis for partial scan optimization , 1993, ICCAD '93.

[714]  Raul Camposano,et al.  Path-based scheduling for synthesis , 1991, IEEE Trans. Comput. Aided Des. Integr. Circuits Syst..

[715]  D. C. King Diagnosis and reliable design of digital systems , 1977 .

[716]  Sung-Woo Hur,et al.  Mongrel: hybrid techniques for standard cell placement , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[717]  Carver A. Mead,et al.  Signal Delay in General RC Networks with Application to Timing Simulation of Digital Integrated Circuits , 1983 .

[718]  Nripendra N. Biswas,et al.  Minimization of Boolean Functions , 1971, IEEE Transactions on Computers.

[719]  Richard Rudell Dynamic variable ordering for ordered binary decision diagrams , 1993, ICCAD.

[720]  Dwight D. Hill,et al.  A CAD system for the design of field programmable gate arrays , 1991, 28th ACM/IEEE Design Automation Conference.

[721]  Y. Matsunaga On accelerating pattern matching for technology mapping , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[722]  Luciano Lavagno,et al.  A case study on modeling shared memory access effects during performance analysis of HW/SW systems , 1998, Proceedings of the Sixth International Workshop on Hardware/Software Codesign. (CODES/CASHE'98).

[723]  R. H. J. M. Otten,et al.  The Annealing Algorithm , 1989 .

[724]  Robert K. Brayton,et al.  Performance directed synthesis for table look up programmable gate arrays , 1991, 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.

[725]  Robert W. Dutton,et al.  An Analytical Algorithm for Placement of Arbitrarily Sized Rectangular Blocks , 1985, 22nd ACM/IEEE Design Automation Conference.

[726]  Balakrishnan Krishnamurthy,et al.  An Improved Min-Cut Algonthm for Partitioning VLSI Networks , 1984, IEEE Transactions on Computers.

[727]  Srimat T. Chakradhar,et al.  Software transformations for sequential test generation , 1995, Proceedings of the Fourth Asian Test Symposium.

[728]  Chung-Kuan Cheng,et al.  Towards efficient hierarchical designs by ratio cut partitioning , 1989, 1989 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[729]  Sujit Dey,et al.  Provably correct high-level timing analysis without path sensitization , 1994, ICCAD.

[730]  Emmanuel Ifeachor,et al.  Digital Signal Processing: A Practical Approach , 1993 .

[731]  Sreejit Chakravarty,et al.  Experimental evaluation of scan tests for bridges , 2002, Proceedings. International Test Conference.

[732]  Rajeev Murgai,et al.  An exact gate assignment algorithm for tree circuits under rise and fall delays , 2000, IEEE/ACM International Conference on Computer Aided Design. ICCAD - 2000. IEEE/ACM Digest of Technical Papers (Cat. No.00CH37140).

[733]  L. Whetsel Core test connectivity, communication, and control , 1998, Proceedings International Test Conference 1998 (IEEE Cat. No.98CH36270).

[734]  Wesley A. Clark Macromodular computer systems , 1967, AFIPS '67 (Spring).

[735]  Farzan Fallah,et al.  A new functional test program generation methodology , 2001, Proceedings 2001 IEEE International Conference on Computer Design: VLSI in Computers and Processors. ICCD 2001.

[736]  Eric A. Vittoz,et al.  Charge injection in analog MOS switches , 1987 .

[737]  A. Demir,et al.  Phase noise and timing jitter in oscillators , 1998, Proceedings of the IEEE 1998 Custom Integrated Circuits Conference (Cat. No.98CH36143).

[738]  Lawrence T. Pileggi,et al.  PRIMA: passive reduced-order interconnect macromodeling algorithm , 1998, 1997 Proceedings of IEEE International Conference on Computer Aided Design (ICCAD).

[739]  Jason Cong,et al.  Challenges and Opportunities for Design Innovations in Nanometer Technologies , 1998 .

[740]  Srivaths Ravi,et al.  Transient power management through high level synthesis , 2001, IEEE/ACM International Conference on Computer Aided Design. ICCAD 2001. IEEE/ACM Digest of Technical Papers (Cat. No.01CH37281).

[741]  A. Richard Newton,et al.  Don't care minimization of multi-level sequential logic networks , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[742]  Jan M. Rabaey,et al.  Exploiting regularity for low-power design , 1996, Proceedings of International Conference on Computer Aided Design.

[743]  E.H.L. Aarts,et al.  Period assignment in multidimensional periodic scheduling , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[744]  Andrzej J. Strojwas Design-manufacturing interface for 0.13 micron and below , 2000, ICCAD.

[745]  Masahiro Fujita,et al.  On variable ordering of binary decision diagrams for the application of multi-level logic synthesis , 1991, Proceedings of the European Conference on Design Automation..

[746]  Prithviraj Banerjee,et al.  A parallel algorithm for hierarchical circuit extraction , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[747]  Sani R. Nassif,et al.  CINNAMON: Coupled Integration and Nodal Analysis of MOS Networks , 1986, 23rd ACM/IEEE Design Automation Conference.

[748]  Sudhakar M. Reddy,et al.  On Testable Design for CMOS Logic Circuits , 1983, International Test Conference.

[749]  Robert K. Brayton,et al.  Multiple-Level Logic Optimization System , 2003 .

[750]  D. A. Mlynski,et al.  A Combined Force and Cut Algorithm for Hierarchical VLSI Layout , 1982, DAC 1982.

[751]  Robert K. Brayton,et al.  Timing optimization of combinational logic , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[752]  A. Richard Newton,et al.  Analysis of performance and convergence issues for circuit simulation , 1989 .

[753]  Marios C. Papaefthymiou,et al.  DelaY: An Efficient Tool for Retiming with Realistic Delay Modeling , 1995, 32nd Design Automation Conference.

[754]  R. Poujois,et al.  A new approach for noise simulation in transient analysis , 1992, [Proceedings] 1992 IEEE International Symposium on Circuits and Systems.

[755]  Shi-Yu Huang,et al.  Error correction based on verification techniques , 1996, DAC '96.

[756]  Martine D. F. Schlag,et al.  EMPIRICAL EVALUATION OF MULTILEVEL LOGIC MINIMIZATION TOOLS FOR A FIELD-PROGRAMMABLE GATE ARRAY TECHNOLOGY , 1991 .

[757]  Christoph M. Hoffmann,et al.  Pattern Matching in Trees , 1982, JACM.

[758]  Marios C. Papaefthymiou,et al.  TIM: A Timing Package for Two-Phase, Level-Clocked Circuitry , 1993, 30th ACM/IEEE Design Automation Conference.

[759]  David Blaauw,et al.  Derivation of signal flow for switch-level simulation , 1990, Proceedings of the European Design Automation Conference, 1990., EDAC..

[760]  Marios C. Papaefthymiou,et al.  Optimizing two-phase, level-clocked circuitry , 1997, JACM.

[761]  M.D. Matson,et al.  Macromodeling and Optimization of Digital MOS VLSI Circuits , 1986, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[762]  Alberto Sangiovanni-Vincentelli,et al.  Design systems for VLSI circuits : logic synthesis and silicon compilation , 1987 .

[763]  Daniel Brand Redundancy and Don't Cares in Logic Synthesis , 1983, IEEE Transactions on Computers.

[764]  C. Sechen,et al.  New algorithms for the placement and routing of macro cells , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[765]  David Marple Transistor Size Optimization in the Tailor Layout System , 1989, 26th ACM/IEEE Design Automation Conference.

[766]  Jörg Henkel,et al.  A decompression architecture for low power embedded systems , 2000, Proceedings 2000 International Conference on Computer Design.

[767]  Manfred Geilert,et al.  On the efficiency of the transition fault model for delay faults , 1990, 1990 IEEE International Conference on Computer-Aided Design. Digest of Technical Papers.

[768]  Massoud Pedram,et al.  A DSM design flow: putting floorplanning, technology-mapping, and gate-placement together , 1998, Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).

[769]  Mattan Kamon,et al.  Preconditioning for multipole-accelerated 3-D inductance extraction , 1993, Proceedings of IEEE Electrical Performance of Electronic Packaging.

[770]  Randal E. Bryant,et al.  Algorithmic Aspects of Symbolic Switch Network Analysis , 1987, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[771]  Vishwani D. Agrawal,et al.  Improving path delay testability of sequential circuits , 2000, IEEE Trans. Very Large Scale Integr. Syst..

[772]  Olivier Coudert,et al.  Verifying Temporal Properties of Sequential Machines without Building Their State Diagrams , 1990, CAV.

[773]  Michael Pabst,et al.  RESIST: a recursive test pattern generation algorithm for path delay faults , 1994, EURO-DAC '94.

[774]  Louise Trevillyan,et al.  Improved logic optimization using global flow analysis , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[775]  Andrew V. Goldberg,et al.  A new approach to the maximum flow problem , 1986, STOC '86.

[776]  Carl-Johan H. Seger,et al.  Formal verification using parametric representations of Boolean constraints , 1999, DAC '99.

[777]  Pierre L. Tison,et al.  Generalization of Consensus Theory and Application to the Minimization of Boolean Functions , 1967, IEEE Trans. Electron. Comput..

[778]  Daniel Brand,et al.  BooleDozer: Logic synthesis for ASICs , 1996, IBM J. Res. Dev..

[779]  Anantha P. Chandrakasan,et al.  Low-power CMOS digital design , 1992 .

[780]  Vamsi Boppana,et al.  Dynamic fault collapsing and diagnostic test pattern generation for sequential circuits , 1998, 1998 IEEE/ACM International Conference on Computer-Aided Design. Digest of Technical Papers (IEEE Cat. No.98CB36287).

[781]  Alexandre Yakovlev,et al.  Basic Gate Implementation of Speed-Independendent Circuits , 1994, 31st Design Automation Conference.

[782]  K. Antreich,et al.  Design centering by yield prediction , 1982 .

[783]  Per Bjesse,et al.  Finding Bugs in an Alpha Microprocessor Using Satisfiability Solvers , 2001, CAV.

[784]  Michael B. Steer,et al.  Frequency-domain nonlinear circuit analysis using generalized power series , 1988 .

[785]  Christopher J. Van Wyk,et al.  Space Efficient Algorithms for VLSI Artwork Analysis , 1983, 20th Design Automation Conference Proceedings.

[786]  Tsutomu Sasao,et al.  Input Variable Assignment and Output Phase Optimization of PLA's , 1984, IEEE Transactions on Computers.

[787]  G. E. Muller Limit parameters: the general solution of the worst-case problem for the linearized case (IC design) , 1990, IEEE International Symposium on Circuits and Systems.

[788]  Rob A. Rutenbar,et al.  Automatic layout of custom analog cells in ANAGRAM , 1988, [1988] IEEE International Conference on Computer-Aided Design (ICCAD-89) Digest of Technical Papers.

[789]  Robert E. Tarjan,et al.  Network Flow Algorithms , 1989 .

[790]  Raymond Reiter,et al.  A Theory of Diagnosis from First Principles , 1986, Artif. Intell..