System-level Early-stage Modeling and Evaluation of IVR-assisted Processor Power Delivery System

Despite being employed in numerous efforts to improve power delivery efficiency, the integrated voltage regulator (IVR) approach has yet to be evaluated rigorously and quantitatively in a full power delivery system (PDS) setting. To fulfill this need, we present a system-level modeling and design space exploration framework called Ivory for IVR-assisted power delivery systems. Using a novel modeling methodology, it can accurately estimate power delivery efficiency, static performance characteristics, and dynamic transient responses under different load variations and external voltage/frequency scaling conditions. We validate the model over a wide range of IVR topologies with silicon measurement and SPICE simulation. Finally, we present two case studies using architecture-level performance and power simulators. The first case study focuses on optimal PDS design for multi-core systems, which achieves 8.6% power efficiency improvement over conventional off-chip voltage regulator module– (VRM) based PDS. The second case study explores the design tradeoffs for IVR-assisted PDSs in CPU and GPU systems with fast per-core dynamic voltage and frequency scaling (DVFS). We find 2 μs to be the optimal DVFS timescale, which not only reaps energy benefits (12.5% improvement in CPU and 50.0% improvement in GPU) but also avoids costly IVR overheads.

[1]  Luca P. Carloni,et al.  A Switched-Inductor Integrated Voltage Regulator With Nonlinear Feedback and Network-on-Chip Load in 45 nm SOI , 2012, IEEE Journal of Solid-State Circuits.

[2]  Elad Alon,et al.  A RISC-V Processor SoC With Integrated Power Management at Submicrosecond Timescales in 28 nm FD-SOI , 2017, IEEE Journal of Solid-State Circuits.

[3]  Pranay Prabhat,et al.  A Subthreshold ARM Cortex-M0+ Subsystem in 65 nm CMOS for WSN Applications with 14 Power Domains, 10T SRAM, and Integrated Voltage Regulator , 2016, IEEE Journal of Solid-State Circuits.

[4]  Christopher Gill,et al.  Voltage-Stacked Power Delivery Systems: Reliability, Efficiency, and Power Management , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[5]  John Crossley,et al.  A sub-ns response fully integrated battery-connected switched-capacitor voltage regulator delivering 0.19W/mm2 at 73% efficiency , 2013, 2013 IEEE International Solid-State Circuits Conference Digest of Technical Papers.

[6]  Qiang Wang,et al.  HKBU Institutional Repository , 2018 .

[7]  Mohammad A. Al-Shyoukh,et al.  A Transient-Enhanced Low-Quiescent Current Low-Dropout Regulator With Buffer Impedance Attenuation , 2007, IEEE Journal of Solid-State Circuits.

[8]  Lieven Eeckhout,et al.  Sniper: Exploring the level of abstraction for scalable and accurate parallel multi-core simulation , 2011, 2011 International Conference for High Performance Computing, Networking, Storage and Analysis (SC).

[9]  Gu-Yeon Wei,et al.  A Fully-Integrated 3-Level DC-DC Converter for Nanosecond-Scale DVFS , 2012, IEEE Journal of Solid-State Circuits.

[10]  Behzad Salami,et al.  Exceeding Conservative Limits: A Consolidated Analysis on Modern Hardware Margins , 2020, IEEE Transactions on Device and Materials Reliability.

[11]  Peng Li,et al.  IC power delivery: Voltage regulation and conversion, system-level cooptimization and technology implications , 2013, TODE.

[12]  R. Dennard,et al.  A fully-integrated switched-capacitor 2∶1 voltage converter with regulation capability and 90% efficiency at 2.3A/mm2 , 2010, 2010 Symposium on VLSI Circuits.

[13]  Michael D. Smith,et al.  Voltage Smoothing: Characterizing and Mitigating Voltage Noise in Production Processors via Software-Guided Thread Scheduling , 2010, 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture.

[14]  Hong Wang,et al.  An energy-efficient graphics processor featuring fine-grain DVFS with integrated voltage regulators, execution-unit turbo, and retentive sleep in 14nm tri-gate CMOS , 2018, 2018 IEEE International Solid - State Circuits Conference - (ISSCC).

[15]  Nam Sung Kim,et al.  GPUWattch: enabling energy optimizations in GPGPUs , 2013, ISCA.

[16]  Osman S. Unsal,et al.  Modern Hardware Margins: CPUs, GPUs, FPGAs Recent System-Level Studies , 2019, 2019 IEEE 25th International Symposium on On-Line Testing and Robust System Design (IOLTS).

[17]  Josep Torrellas,et al.  EnergySmart: Toward energy-efficient manycores for Near-Threshold Computing , 2013, 2013 IEEE 19th International Symposium on High Performance Computer Architecture (HPCA).

[18]  Nam Sung Kim,et al.  Cost-effective power delivery to support per-core voltage domains for power-constrained processors , 2012, DAC Design Automation Conference 2012.

[19]  Amit Patra,et al.  Design of a 20 MHz DC-DC Buck Converter with 84 Percent Efficiency for Portable Applications , 2011, 2011 24th Internatioal Conference on VLSI Design.

[20]  Johann W. Kolar,et al.  4.7 A sub-ns response on-chip switched-capacitor DC-DC voltage regulator delivering 3.7W/mm2 at 90% efficiency using deep-trench capacitors in 32nm SOI CMOS , 2014, 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC).

[21]  Yiyu Shi,et al.  From Layout to System: Early Stage Power Delivery and Architecture Co-Exploration , 2019, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[22]  Yong Kim,et al.  The 12-Core POWER8™ Processor With 7.6 Tb/s IO Bandwidth, Integrated Voltage Regulation, and Resonant Clocking , 2015, IEEE Journal of Solid-State Circuits.

[23]  Eby G. Friedman,et al.  Power Delivery Exploration Methodology Based on Constrained Optimization , 2020, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[24]  Jingwen Leng,et al.  Adaptive guardband scheduling to improve system-level efficiency of the POWER7+ , 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[25]  Meeta Sharma Gupta,et al.  Understanding Voltage Variations in Chip Multiprocessors using a Distributed Power-Delivery Network , 2007, 2007 Design, Automation & Test in Europe Conference & Exhibition.

[26]  Zhe Wang,et al.  An Analytical Study of Power Delivery Systems for Many-Core Processors Using On-Chip and Off-Chip Voltage Regulators , 2015, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.

[27]  James Tschanz,et al.  Enabling Wide Autonomous DVFS in a 22 nm Graphics Execution Core Using a Digitally Controlled Fully Integrated Voltage Regulator , 2016, IEEE Journal of Solid-State Circuits.

[28]  Riccardo Trinchero EMI Analysis and Modeling of Switching Circuits , 2015 .

[29]  Radu Teodorescu,et al.  EmerGPU: Understanding and mitigating resonance-induced voltage noise in GPU architectures , 2016, 2016 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS).

[30]  Yu-Min Lee,et al.  XGBIR: An XGBoost-based IR Drop Predictor for Power Delivery Network , 2020, 2020 Design, Automation & Test in Europe Conference & Exhibition (DATE).

[31]  Slobodan Cuk,et al.  A general unified approach to modelling switching-converter power stages , 1976, 1970 IEEE Power Electronics Specialists Conference.

[32]  Hai Liu,et al.  Energy efficient real-time task scheduling on CPU-GPU hybrid clusters , 2017, IEEE INFOCOM 2017 - IEEE Conference on Computer Communications.

[33]  Gu-Yeon Wei,et al.  Ivory: Early-stage design space exploration tool for integrated voltage regulators , 2017, 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC).

[34]  Jingwen Leng,et al.  Energy Efficiency Benefits of Reducing the Voltage Guardband on the Kepler GPU Architecture , 2014 .

[35]  Elad Alon,et al.  Design Techniques for Fully Integrated Switched-Capacitor DC-DC Converters , 2011, IEEE Journal of Solid-State Circuits.

[36]  Meeta Sharma Gupta,et al.  System level analysis of fast, per-core DVFS using on-chip switching regulators , 2008, 2008 IEEE 14th International Symposium on High Performance Computer Architecture.

[37]  Elad Alon,et al.  A RISC-V Vector Processor With Simultaneous-Switching Switched-Capacitor DC–DC Converters in 28 nm FDSOI , 2016, IEEE Journal of Solid-State Circuits.

[38]  Gerard Villar Pique A 41-phase switched-capacitor power converter with 3.8mV output ripple and 81% efficiency in baseline 90nm CMOS , 2012, 2012 IEEE International Solid-State Circuits Conference.

[39]  R. Sanders,et al.  Analytical and Practical Analysis of Switched-Capacitor DC-DC Converters , 2006 .

[40]  Meeta Sharma Gupta,et al.  GPUVolt: Modeling and characterizing voltage noise in GPU architectures , 2014, 2014 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED).

[41]  Xin He,et al.  Voltage-Stacked GPUs: A Control Theory Driven Cross-Layer Solution for Practical Voltage Stacking in GPUs , 2018, 2018 51st Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[42]  Edgar Sánchez-Sinencio,et al.  Power Management for Multicore Processors via Heterogeneous Voltage Regulation and Machine Learning Enabled Adaptation , 2019, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[43]  Yier Jin,et al.  PowerScout: A Security-Oriented Power Delivery Network Modeling Framework for Cross-Domain Side-Channel Analysis , 2020, 2020 Asian Hardware Oriented Security and Trust Symposium (AsianHOST).

[44]  Michiel Steyaert,et al.  A 82% efficiency 0.5% ripple 16-phase fully integrated capacitive voltage doubler , 2009, 2009 Symposium on VLSI Circuits.

[45]  Kevin Skadron,et al.  Rodinia: A benchmark suite for heterogeneous computing , 2009, 2009 IEEE International Symposium on Workload Characterization (IISWC).

[46]  Henry Wong,et al.  Analyzing CUDA workloads using a detailed GPU simulator , 2009, 2009 IEEE International Symposium on Performance Analysis of Systems and Software.

[47]  S. Borkar,et al.  Review of On-Chip Inductor Structures With Magnetic Films , 2009, IEEE Transactions on Magnetics.

[48]  Karthikeyan Sankaralingam,et al.  Dark silicon and the end of multicore scaling , 2011, 2011 38th Annual International Symposium on Computer Architecture (ISCA).

[49]  Pingqiang Zhou,et al.  Exploration of on-chip switched-capacitor DC-DC converter for multicore processors using a distributed power delivery network , 2011, 2011 IEEE Custom Integrated Circuits Conference (CICC).

[50]  Fabrice Paillet,et al.  FIVR — Fully integrated voltage regulators on 4th generation Intel® Core™ SoCs , 2014, 2014 IEEE Applied Power Electronics Conference and Exposition - APEC 2014.

[51]  Masud H. Chowdhury,et al.  A Hybrid Scheme for On-Chip Voltage Regulation in System-On-a-Chip (SOC) , 2011, IEEE Transactions on Very Large Scale Integration (VLSI) Systems.

[52]  Avesta Sasan,et al.  A Power Delivery Network and Cell Placement Aware IR-Drop Mitigation Technique: Harvesting Unused Timing Slacks to Schedule Useful Skews , 2017, 2017 IEEE Computer Society Annual Symposium on VLSI (ISVLSI).

[53]  B. Bakkaloglu,et al.  A Multistage Interleaved Synchronous Buck Converter With Integrated Output Filter in 0.18 $\mu$m SiGe Process , 2007, IEEE Transactions on Power Electronics.

[55]  Hailong Jiao,et al.  Voltage Stacked Design of a Microcontroller for Near/Sub-threshold Operation , 2019, 2019 32nd IEEE International System-on-Chip Conference (SOCC).

[56]  Jonathan W. Kimball,et al.  Effects of stray inductance on hard-switched switched capacitor converters , 2014, 2014 Power and Energy Conference at Illinois (PECI).

[57]  Xiaosen Liu,et al.  A Digitally Controlled Fully Integrated Voltage Regulator With On-Die Solenoid Inductor With Planar Magnetic Core in 14-nm Tri-Gate CMOS , 2018, IEEE Journal of Solid-State Circuits.

[58]  P. Hazucha,et al.  A 100MHz Eight-Phase Buck Converter Delivering 12A in 25mm2 Using Air-Core Inductors , 2007, APEC 07 - Twenty-Second Annual IEEE Applied Power Electronics Conference and Exposition.

[59]  Jaydeep Kulkarni,et al.  A 0.45–1 V Fully-Integrated Distributed Switched Capacitor DC-DC Converter With High Density MIM Capacitor in 22 nm Tri-Gate CMOS , 2014, IEEE Journal of Solid-State Circuits.

[60]  Norman P. Jouppi,et al.  CACTI: an enhanced cache access and cycle time model , 1996, IEEE J. Solid State Circuits.

[61]  Minyi Guo,et al.  AgileRegulator: A hybrid voltage regulator scheme redeeming dark silicon for power efficiency in a multicore architecture , 2012, IEEE International Symposium on High-Performance Comp Architecture.

[62]  Xuan Zhang,et al.  Efficient and Reliable Power Delivery in Voltage-Stacked Manycore System with Hybrid Charge-Recycling Regulators , 2018, 2018 55th ACM/ESDA/IEEE Design Automation Conference (DAC).

[63]  Ioannis Savidis,et al.  Recycling of unused leakage current for energy efficient multi-voltage systems , 2020, Microelectron. J..

[64]  Zhiyu Zeng,et al.  Tradeoff analysis and optimization of power delivery networks with on-chip voltage regulation , 2010, Design Automation Conference.

[65]  Eby G. Friedman,et al.  Heterogeneous Methodology for Energy Efficient Distribution of On-Chip Power Supplies , 2013, IEEE Transactions on Power Electronics.

[66]  Ioannis Kymissis,et al.  A 2.5D Integrated Voltage Regulator Using Coupled-Magnetic-Core Inductors on Silicon Interposer , 2012, IEEE Journal of Solid-State Circuits.

[67]  Philip K. T. Mok,et al.  A Capacitor-Less CMOS Active Feedback Low-Dropout Regulator With Slew-Rate Enhancement for Portable On-Chip Application , 2010, IEEE Transactions on Circuits and Systems II: Express Briefs.

[68]  Makoto Nagata,et al.  A Fast Side-Channel Leakage Simulation Technique Based on IC Chip Power Modeling , 2019, IEEE Letters on Electromagnetic Compatibility Practice and Applications.

[69]  Eby G. Friedman,et al.  Distributed On-Chip Power Delivery , 2012, IEEE Journal on Emerging and Selected Topics in Circuits and Systems.

[70]  G. Patounakis,et al.  A fully integrated on-chip DC-DC conversion and power management system , 2004, IEEE Journal of Solid-State Circuits.

[71]  Eby G. Friedman,et al.  Exploratory design of on-chip power delivery for 14, 10, and 7 nm and beyond FinFET ICs , 2017, Integr..

[72]  Pradip Bose,et al.  Safe limits on voltage reduction efficiency in GPUs: A direct measurement approach , 2015, 2015 48th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO).

[73]  Tor M. Aamodt,et al.  Accel-Sim: An Extensible Simulation Framework for Validated GPU Modeling , 2020, 2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture (ISCA).

[74]  Deukhyoun Heo,et al.  Fully Integrated Switched-Inductor-Capacitor Voltage Regulator With 0.82-A/mm2 Peak Current Density and 78% Peak Power Efficiency , 2021, IEEE Journal of Solid-State Circuits.

[75]  Gu-Yeon Wei,et al.  A fully integrated battery-connected switched-capacitor 4:1 voltage regulator with 70% peak efficiency using bottom-plate charge recycling , 2013, Proceedings of the IEEE 2013 Custom Integrated Circuits Conference.

[76]  Orion: a power-performance simulator for interconnection networks , 2002, MICRO 35.

[77]  Lizy Kurian John,et al.  Automated di/dt stressmark generation for microprocessor power delivery networks , 2011, IEEE/ACM International Symposium on Low Power Electronics and Design.

[78]  Vivek De,et al.  A 0.4V∼1V 0.2A/mm2 70% efficient 500MHz fully integrated digitally controlled 3-level buck voltage regulator with on-die high density MIM capacitor in 22nm tri-gate CMOS , 2015, 2015 IEEE Custom Integrated Circuits Conference (CICC).

[79]  Yu Cao,et al.  Exploring sub-20nm FinFET design with Predictive Technology Models , 2012, DAC Design Automation Conference 2012.

[80]  Axel Poschmann,et al.  TROJANUS: An ultra-lightweight side-channel leakage generator for FPGAs , 2013, 2013 International Conference on Field-Programmable Technology (FPT).

[81]  Edgar Sánchez-Sinencio,et al.  Full On-Chip CMOS Low-Dropout Voltage Regulator , 2007, IEEE Transactions on Circuits and Systems I: Regular Papers.

[82]  Yuanmao Ye,et al.  Analysis and Optimization of Switched Capacitor Power Conversion Circuits With Parasitic Resistances and Inductances , 2017, IEEE Transactions on Power Electronics.

[83]  Eby G. Friedman,et al.  Challenges in High Current On-Chip Voltage Stacked Systems , 2020, International Symposium on Circuits and Systems.